O-RAN E Maintenance Release contribution for ODULOW
[o-du/phy.git] / fhi_lib / lib / Makefile
1 #/******************************************************************************
2 #*
3 #*   Copyright (c) 2020 Intel.
4 #*
5 #*   Licensed under the Apache License, Version 2.0 (the "License");
6 #*   you may not use this file except in compliance with the License.
7 #*   You may obtain a copy of the License at
8 #*
9 #*       http://www.apache.org/licenses/LICENSE-2.0
10 #*
11 #*   Unless required by applicable law or agreed to in writing, software
12 #*   distributed under the License is distributed on an "AS IS" BASIS,
13 #*   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
14 #*   See the License for the specific language governing permissions and
15 #*   limitations under the License.
16 #*
17 #*******************************************************************************/
18
19 MYCUSTOMTAB='     '
20 MYCUSTOMSPACE='============================================================================================'
21 MYCUSTOMSPACE1='------------------------------------------------------------'
22
23 ##############################################################
24 #  Tools configuration
25 ##############################################################
26 CC  := icc
27 CPP := icpc
28 AS := as
29 AR := ar
30 LD := icc
31 OBJDUMP := objdump
32
33 ifeq ($(SHELL),cmd.exe)
34 MD := mkdir.exe -p
35 CP := cp.exe -f
36 RM := rm.exe -rf
37 else
38 MD := mkdir -p
39 CP := cp -f
40 RM := rm -rf
41 endif
42
43 PROJECT_NAME := libxran
44 PROJECT_TYPE := lib
45 PROJECT_DIR  := $(XRAN_DIR)/lib
46 BUILDDIR := ./build
47
48 ifeq ($(XRAN_LIB_SO),)
49 PROJECT_BINARY := $(BUILDDIR)/$(PROJECT_NAME).a
50 else
51 PROJECT_BINARY := $(BUILDDIR)/$(PROJECT_NAME).so
52 endif
53
54 ifeq ($(RTE_SDK),)
55     $(error "Please define RTE_SDK environment variable")
56 endif
57
58 RTE_TARGET ?= x86_64-native-linux-icc
59
60 RTE_INC := $(shell PKG_CONFIG_PATH=/usr/lib64/pkgconfig:$(RTE_SDK)/build/meson-uninstalled pkgconf --cflags-only-I libdpdk)
61 API_DIR := $(PROJECT_DIR)/api
62 SRC_DIR := $(PROJECT_DIR)/src
63 ETH_DIR := $(PROJECT_DIR)/ethernet
64
65 ifeq ($(MLOG),1)
66 ifeq ($(MLOG_DIR),)
67     MLOG_DIR=$(XRAN_DIR)/../mlog
68 endif
69 endif
70
71 CC_SRC = $(ETH_DIR)/ethdi.c \
72         $(ETH_DIR)/ethernet.c \
73         $(SRC_DIR)/xran_up_api.c \
74         $(SRC_DIR)/xran_sync_api.c \
75         $(SRC_DIR)/xran_timer.c \
76         $(SRC_DIR)/xran_cp_api.c        \
77         $(SRC_DIR)/xran_transport.c     \
78         $(SRC_DIR)/xran_common.c        \
79         $(SRC_DIR)/xran_ul_tables.c     \
80         $(SRC_DIR)/xran_frame_struct.c  \
81         $(SRC_DIR)/xran_app_frag.c \
82         $(SRC_DIR)/xran_dev.c \
83         $(SRC_DIR)/xran_rx_proc.c \
84         $(SRC_DIR)/xran_tx_proc.c \
85         $(SRC_DIR)/xran_cp_proc.c \
86         $(SRC_DIR)/xran_cb_proc.c \
87         $(SRC_DIR)/xran_mem_mgr.c \
88         $(SRC_DIR)/xran_main.c \
89         $(SRC_DIR)/xran_delay_measurement.c
90
91 CPP_SRC = $(SRC_DIR)/xran_compression.cpp \
92         $(SRC_DIR)/xran_bfp_ref.cpp \
93         $(SRC_DIR)/xran_bfp_cplane8.cpp \
94         $(SRC_DIR)/xran_bfp_cplane16.cpp \
95         $(SRC_DIR)/xran_bfp_cplane32.cpp \
96         $(SRC_DIR)/xran_bfp_cplane64.cpp \
97         $(SRC_DIR)/xran_bfp_uplane_9b16rb.cpp \
98         $(SRC_DIR)/xran_bfp_uplane.cpp \
99         $(SRC_DIR)/xran_mod_compression.cpp
100
101 CPP_SRC_SNC = $(SRC_DIR)/xran_compression_snc.cpp \
102         $(SRC_DIR)/xran_bfp_cplane8_snc.cpp \
103         $(SRC_DIR)/xran_bfp_cplane16_snc.cpp \
104         $(SRC_DIR)/xran_bfp_cplane32_snc.cpp \
105         $(SRC_DIR)/xran_bfp_cplane64_snc.cpp \
106         $(SRC_DIR)/xran_bfp_uplane_snc.cpp
107
108 CC_FLAGS += -std=gnu11 -Wall -Wno-deprecated-declarations  \
109         -fdata-sections \
110         -ffunction-sections \
111         -g \
112         -fPIC \
113         -Wall \
114         -Wimplicit-function-declaration \
115         -g -O3 -wd1786 -mcmodel=large
116
117 CPP_FLAGS := -D__STDC_LIMIT_MACROS -D__STDC_CONSTANT_MACROS -D_GNU_SOURCE -D_REENTRANT -pipe -no-prec-div \
118                 -no-prec-div -fp-model fast=2 -fPIC \
119                 -no-prec-sqrt  -falign-functions=16 -fast-transcendentals \
120         -Werror -Wno-unused-variable -std=c++14 -mcmodel=large
121
122 INC :=  -I$(API_DIR) -I$(ETH_DIR) -I$(SRC_DIR) -I$(RTE_INC)
123 DEF :=
124 ifeq ($(MLOG),1)
125         INC  += -I$(MLOG_DIR)/source
126         DEF += -DMLOG_ENABLED
127 else
128         DEF += -UMLOG_ENABLED
129 endif
130
131
132 #DEF += -DFCN_ADAPT
133 #DEF += -DFCN_1_2_6_EARLIER
134
135 AS_FLAGS :=
136 AR_FLAGS := rc
137
138 PROJECT_OBJ_DIR := build/obj
139
140 CC_OBJS := $(patsubst %.c,%.o,$(CC_SRC))
141 CPP_OBJS := $(patsubst %.cpp,%.o,$(CPP_SRC))
142 CPP_OBJS_SNC := $(patsubst %.cpp,%.o,$(CPP_SRC_SNC))
143 AS_OBJS := $(patsubst %.s,%.o,$(AS_SRC))
144 OBJS    := $(CC_OBJS) $(CPP_OBJS) $(CPP_OBJS_SNC) $(AS_OBJS) $(LIBS)
145 DIRLIST := $(addprefix $(PROJECT_OBJ_DIR)/,$(sort $(dir $(OBJS))))
146
147 CC_OBJTARGETS := $(addprefix $(PROJECT_OBJ_DIR)/,$(CC_OBJS))
148 CPP_OBJTARGETS := $(addprefix $(PROJECT_OBJ_DIR)/,$(CPP_OBJS))
149 CPP_SNC_OBJTARGETS := $(addprefix $(PROJECT_OBJ_DIR)/,$(CPP_OBJS_SNC))
150
151 AS_OBJTARGETS := $(addprefix $(PROJECT_OBJ_DIR)/,$(AS_OBJS))
152 #-qopt-report=5 -qopt-matmul -qopt-report-phase=all
153 CPP_COMP       := -O3 -DNDEBUG  -xcore-avx512 -fPIE -restrict -fasm-blocks
154 CPP_COMP_SNC   := -O3 -DNDEBUG -march=icelake-server -fPIE -restrict -fasm-blocks
155 CC_FLAGS_FULL  := $(CC_FLAGS)  $(INC) $(DEF)
156 CPP_FLAGS_FULL := $(CPP_FLAGS) $(CPP_COMP) $(INC) $(DEF)
157 CPP_FLAGS_FULL_SNC := $(CPP_FLAGS) $(CPP_COMP_SNC) $(INC) $(DEF)
158
159 AS_FLAGS := $(AS_FLAGS) $(INC)
160
161 PROJECT_DEP_FILE := $(PROJECT_OBJ_DIR)/$(PROJECT_NAME).dep
162
163 ifeq ($(wildcard $(PROJECT_DEP_FILE)),$(PROJECT_DEP_FILE))
164 GENERATE_DEPS :=
165 else
166
167 CC_DEPS  := $(addprefix __dep__,$(subst ../,__up__,$(CC_SRC)))
168 CPP_DEPS  := $(addprefix __dep__,$(subst ../,__up__,$(CPP_SRC)))
169 CPP_SNC_DEPS  := $(addprefix __dep__,$(subst ../,__up__,$(CPP_SRC_SNC)))
170 GENERATE_DEPS := generate_deps
171 endif
172
173 all : welcome_line      $(PROJECT_BINARY)
174         @echo $(PROJECT_BINARY)
175
176 .PHONY : clear_dep
177 clear_dep:
178         @$(RM) $(PROJECT_DEP_FILE)
179         @echo [DEP]   $(subst $(PROJECT_OBJ_DIR)/,,$(PROJECT_DEP_FILE))
180
181 $(CC_DEPS) :
182         @$(CC) -MM $(subst __up__,../,$(subst __dep__,,$@)) -MT $(PROJECT_OBJ_DIR)/$(patsubst %.c,%.o,$(subst __up__,../,$(subst __dep__,,$@))) $(CC_FLAGS_FULL) >> $(PROJECT_DEP_FILE)
183
184 $(CPP_DEPS) :
185         @$(CPP) -MM $(subst __up__,../,$(subst __dep__,,$@)) -MT $(PROJECT_OBJ_DIR)/$(patsubst %.cpp,%.o,$(subst __up__,../,$(subst __dep__,,$@))) $(CPP_FLAGS_FULL) >> $(PROJECT_DEP_FILE)
186
187 $(CPP_SNC_DEPS) :
188         @$(CPP) -MM $(subst __up__,../,$(subst __dep__,,$@)) -MT $(PROJECT_OBJ_DIR)/$(patsubst %.cpp,%.o,$(subst __up__,../,$(subst __dep__,,$@))) $(CPP_FLAGS_FULL_SNC) >> $(PROJECT_DEP_FILE)
189
190 .PHONY : generate_deps
191 generate_deps : clear_dep $(CC_DEPS) $(CPP_DEPS) $(CPP_SNC_DEPS)
192
193
194 .PHONY : echo_start_build
195 echo_start_build :
196         @echo [BUILD] $(PROJECT_TYPE) : $(PROJECT_NAME)
197
198 $(DIRLIST) :
199         -@$(MD) $@
200
201 $(CC_OBJTARGETS) :
202         @echo [CC]    $(subst $(PROJECT_OBJ_DIR)/,,$@)
203         @$(CC) -c $(CC_FLAGS_FULL) -o"$@" $(patsubst %.o,%.c,$(subst $(PROJECT_OBJ_DIR)/,,$@))
204
205 $(CPP_OBJTARGETS) :
206         @echo [CPP]    $(subst $(PROJECT_OBJ_DIR)/,,$@)
207         @$(CPP) -c $(CPP_FLAGS_FULL) -o"$@" $(patsubst %.o,%.cpp,$(subst $(PROJECT_OBJ_DIR)/,,$@))
208
209 $(CPP_SNC_OBJTARGETS) :
210         @echo [CPP-SNC]    $(subst $(PROJECT_OBJ_DIR)/,,$@)
211         @$(CPP) -c $(CPP_FLAGS_FULL_SNC) -o"$@" $(patsubst %.o,%.cpp,$(subst $(PROJECT_OBJ_DIR)/,,$@))
212
213 $(AS_OBJTARGETS) :
214         @echo [AS]    $(subst $(PROJECT_OBJ_DIR)/,,$@)
215         @$(AS) $(AS_FLAGS) -o"$@" $(patsubst %.o,%.s,$(subst $(PROJECT_OBJ_DIR)/,,$@))
216
217 ifeq ($(wildcard $(PROJECT_DEP_FILE)),$(PROJECT_DEP_FILE))
218
219 include $(PROJECT_DEP_FILE)
220
221 endif
222
223 .PHONY: clean xclean
224 clean:
225         @echo [CLEAN]  : $(PROJECT_NAME)
226         @$(RM) $(CC_OBJTARGETS) $(CPP_OBJTARGETS) $(CPP_SNC_OBJTARGETS) $(AS_OBJTARGETS)
227
228 xclean: clean
229 ifneq ($(wildcard $(PROJECT_DIR)/$(PROJECT_MAKE)),)
230         @echo [XCLEAN] : $(PROJECT_NAME)
231         @$(RM) $(PROJECT_BINARY) $(PROJECT_BINARY_LIB) $(PROJECT_DEP_FILE)
232 endif
233
234 .PHONY : welcome_line
235 welcome_line :
236         @echo $(MYCUSTOMSPACE)
237         @echo Building  $(PROJECT_BINARY)
238         @echo $(MYCUSTOMTAB)RTE_TARGET           = $(RTE_TARGET)
239         @echo $(MYCUSTOMSPACE)
240
241
242 .PHONY : debug release
243
244 debug :  all
245 release :  all
246
247 $(PROJECT_BINARY) : $(DIRLIST) echo_start_build $(GENERATE_DEPS) $(PRE_BUILD) $(CC_OBJTARGETS) $(CPP_OBJTARGETS) $(CPP_SNC_OBJTARGETS) $(AS_OBJTARGETS)
248         @echo [AR]    $(subst $(BUILDDIR)/,,$@)
249 ifeq ($(XRAN_LIB_SO),)
250         @$(AR) $(AR_FLAGS) $@ $(CC_OBJTARGETS) $(CPP_OBJTARGETS) $(CPP_SNC_OBJTARGETS) $(AS_OBJTARGETS)
251 else
252         @$(CC) $(CC_OBJTARGETS) $(CPP_OBJTARGETS) $(CPP_SNC_OBJTARGETS) $(AS_OBJTARGETS) -shared -fPIC -o $@
253 endif