From f97b292fa01903c18b71ec8a549824c7ec3bea93 Mon Sep 17 00:00:00 2001 From: "aravind.est" Date: Thu, 10 Nov 2022 18:20:49 +0000 Subject: [PATCH] Add odu tests and enable k8s oru test Issue-ID: NONRTRIC-723 Signed-off-by: aravind.est Change-Id: I00297498489405777e6eeb50b1d40990f39e1154 --- .../pythonsdk-tests/onap-override.yaml | 8 ++ .../test/pythonsdk/src/orantests/conftest.py | 2 +- .../resources/cl-test-helm-chart/odu-app-1.0.0.tgz | Bin 0 -> 25276 bytes .../odu-app-ics-version-1.0.0.tgz | Bin 0 -> 25346 bytes .../resources/cl-test-helm-chart/oru-app-1.0.0.tgz | Bin 0 -> 103667 bytes .../{disable_test_cl_k8s.py => test_cl_k8s.py} | 85 +++++++++++++++------ 6 files changed, 70 insertions(+), 25 deletions(-) create mode 100755 smo-install/test/pythonsdk/src/orantests/resources/cl-test-helm-chart/odu-app-1.0.0.tgz create mode 100755 smo-install/test/pythonsdk/src/orantests/resources/cl-test-helm-chart/odu-app-ics-version-1.0.0.tgz create mode 100755 smo-install/test/pythonsdk/src/orantests/resources/cl-test-helm-chart/oru-app-1.0.0.tgz rename smo-install/test/pythonsdk/src/orantests/{disable_test_cl_k8s.py => test_cl_k8s.py} (61%) diff --git a/smo-install/helm-override/pythonsdk-tests/onap-override.yaml b/smo-install/helm-override/pythonsdk-tests/onap-override.yaml index d66ea9f0..0dc5aa31 100644 --- a/smo-install/helm-override/pythonsdk-tests/onap-override.yaml +++ b/smo-install/helm-override/pythonsdk-tests/onap-override.yaml @@ -290,6 +290,14 @@ policy: enabled: true policy-clamp-ac-k8s-ppnt: enabled: true + image: onap/policy-clamp-ac-k8s-ppnt:6.3.0 + repoList: + helm: + repos: + - repoName: chartmuseum + address: http://test-chartmuseum.test:8080 + protocols: + - http policy-gui: enabled: false policy-nexus: diff --git a/smo-install/test/pythonsdk/src/orantests/conftest.py b/smo-install/test/pythonsdk/src/orantests/conftest.py index dd4e4691..9723a6d3 100644 --- a/smo-install/test/pythonsdk/src/orantests/conftest.py +++ b/smo-install/test/pythonsdk/src/orantests/conftest.py @@ -102,7 +102,7 @@ def pytest_sessionstart(): wait(lambda: policy_component_ready(), sleep_seconds=settings.POLICY_CHECK_RETRY, timeout_seconds=settings.POLICY_CHECK_TIMEOUT, waiting_for="Policy to be ready") wait(lambda: sdnc_component_ready(), sleep_seconds=settings.SDNC_CHECK_RETRY, timeout_seconds=settings.SDNC_CHECK_TIMEOUT, waiting_for="SDNC to be ready") # disable for now, until policy/clamp issue has been fixed - ##wait(lambda: clamp_component_ready(), sleep_seconds=settings.CLAMP_CHECK_RETRY, timeout_seconds=settings.CLAMP_CHECK_TIMEOUT, waiting_for="Clamp to be ready") + wait(lambda: clamp_component_ready(), sleep_seconds=settings.CLAMP_CHECK_RETRY, timeout_seconds=settings.CLAMP_CHECK_TIMEOUT, waiting_for="Clamp to be ready") ## Just kill any simulators that could already be runnin network_sims.stop_network_simulators() diff --git a/smo-install/test/pythonsdk/src/orantests/resources/cl-test-helm-chart/odu-app-1.0.0.tgz b/smo-install/test/pythonsdk/src/orantests/resources/cl-test-helm-chart/odu-app-1.0.0.tgz new file mode 100755 index 0000000000000000000000000000000000000000..b82abf21724222ee5995b9b6682de7fcd3468b75 GIT binary patch literal 25276 zcmV)jK%u`MiwG0|00000|0w_~VMtOiV@ORlOnEsqVl!4SWK%V1T2nbTPgYhoO;>Dc zVQyr3R8em|NM&qo0POwydfPaXFpTftx(Y0l-B>*lEj!MkHE-|xx^1W1+lk|~o$j6A z%>3LC2}u}J1REgjXp+45^WDaGW#5CsfdCH@<=9Toj`%#Cwn(5*C;){*p$;rA1~|*M z4`!I>;R4T-f4TE#I2;aN?Crt-hr?m@|HIvv&;Mm-@7eB)-51ZEJ=^=2;m+>!=fi(N z!@EbN{uCm|{9lG&+*Y-7{~!;}=+A@;%F+?K-tFNmvp;vjVL0r?BqM1|(ufi<>J3ne z=VXK;HlMS!hY%+j6EtUhF+xxNPr@RcJn12HZGimWoniQ4Ai;=;(=3OV`{+lK%uyug z9LgDrNtUn$(sL1sIWquysyY3ockSc$wTbsX;PKag!lJ9YZ)4T^f42Lqw*H@O*8hV% zYb@_so@b-&ZCK+oCi2nFtKqAmyV!Szie=C#5%~y-8Qy*VVuZgX(Ti8Z=PxJYo#!v` zGraTdvuDHj`QDhkh$9ledOmr+hhMykqH%2%!U(EjXRdaSyN%5qc)g7O&^XhIW$$cKnzIijiLZdpQc8WD6u^BJ_Gp+J}Zq+zgej%kW8 zideQlY~mI~IPX1@h2hbx@#f|x#DH+f_;fo_MaA};G1rwI{~kiQj_ zlNgN`2xnPBBRozBO7IP09N{S^B$o0KP0 zuK-ICPEoLbj*ia*^!@(%@%d8;vto$a4o93P#dcW3C}-O1tc#qqn7 zbM)>t+CTXd`sw)O@F^lRpAnA8hm6Y}Fpj7srk)Jvgm@@Sm_kWpB%%`@-NBFT|rfq=8- zin6H-If1A^82xGg?VG`b@j1>VJ>{edp?Z{HPS7>xR1TkzBQ_=|!$MAFn&wQ-N{(_e z&k~#y5%zkVz-S+^BF#r=r`Mx%JSC&bj+>GXg?JXS0mtb;L~>G%b|lB#Hm|l*mhzm_ zXuxrn$(KUTIxIxN>vTMK?1h z2#PgLsQRoV_405K_K++*LcvbB8xDhBZ%%~3Q^Hx16E6D!5`tM6`s+Y_4q1vbi5cVh z2tE6DxYz56IE89Bj^)I%HFt*LPPq4NxU)MPz1n%T(?fDu^AW=FoJt8rlKF=5c!Yk+ zUXA~A@BF{T-`@^@`R`Hf{Dy=%;V_+kE^*Y6j*?|VH#=S6~Z292gH zmWPZ%Tnu)0c6aq?oEAxPP9jcnF+zX-D;z}()kY`?dXpkaYA<^ki}%x%>G?ZCA3wvp za}pJt=8FTC=Hx>T@8vj5#1EVm*$C|phr?b^v=obQhQ~CaIml`94MH(znfZHw_TRjL zzd6D2yEIvxF_yok2@wmCllchcydbJTk?xBVmdXOv*YAP0I~o;!O(U3;`644DbdVGx zC;a%-j@_%FoXUa~JR-v4`U^pYC~%T25GPWUBxwu`IcJ&^EbIicwdLBE1rmaZ2m1x=~Xc%Av&JG))F&xgHx|3PPHOcz0Co+2}=@oL(?fhPiQKO z;P`KafbVnJKuRLHH2C5v^q-SCyH+eTDtNL$V~&9~lbmq$q$Kr|Py?OQ+|Gw6D`4#A z`uCj7fsO3!zIsb_7k?{==vt#E*Tp$WNF>(@Oyry;QWRG+`_F&v_3&guQ<^W}^Vb$; zHqY9Rk^k-Hw0$`vNk+H`^X!g}0xRVI=fmMnMgD)j^K2vkKgjd(53{ z1bnmo`E#!aOX28427<_pfGW%kIEWFUD){l^K#DIkB`7cl1z9#ggYqi~H=)w1o=8C9 z&q~AM3XJHJ6u;64y?6$H()oEYna~d?7z9YelCqPqdB^vNg~fhg3%QI}sMnW59j%UH1VkakNxWK*BRcB30Mm>AhSNxs1~^pP=C1#NgjV zP=Tcy?LNJl|MVuEGn#URXr-?lNf=Q*JyfX#k4ds*RH0Nkq}8stR91f*j{ixXj}sgb z6#OAT!DX=i5(3q>!ceqhsJ<%{XC#@2Vzv!fkI=`D@|=+ralJ#KYO?-aXes)Q1{*pv zMR#%Ep04FLS?S8I&XBTgt7ZTAv7yQ*^jpDlQt5IX${eS7O5(wIp#Yg#bFL11jU+Qi zfR#k6_HxjOg1Ta8qLNzZVKe456}jB5eZ1Y})HG|Wj9edT5so5OsDoS~$g(a$`F&OV zq>U5##llE6UfB+|Y8@`QTi9=dmAXB2*1v%o=s$u^0BUReYYU*8=c_*T^PjqmpOf^? z%t2P2|LXU@&tJUQ+noO%;@KSiHb=jI=A&QFHGsldCbqA4dRH`!N9fQp!rq+ZIL0}K z%Ys(%#o5i}&Wg0WBr72V0zuJ5?X4l!+q26b-<@B0bbuRTzboFoXEOuZ(9JKygNL)JYEgT+~$TU11*dT zOA=A|6WV~6`?mMNSwN@ehSUF^ah9`)B_nikaO!+3@8(u5o$|`FdC}C^k$VkS7V|FW zzJv|c+B0{04*xc{e0SQ8bD3C{a&H)K*V@+>ssEv#`uR_55AM(uaK-s=cjx(T?f!3X zXLJ60h-Y*D+noP4=Re~BV~&1W8~*jqeahgqd}V}6S3bh`vJ#?e)gfsi12--O~O>wOcB z@m24eFrUl68K=|UH~+Wy2>pyXWraY;hex6pW}N+vM0qcyF~QraIA?$Bh1VitG1>k{ zV0mW!D?8b3<@j~S-oUHY|IYJaRsMgrvswQS@>KkPJvUvwKJ3vD#5vgbK?J8MVjv8v zxzqDp2dh4TU%Q{1r}=4K|99&RxMKb9?7iHpuK(wo``?Fo9y!PV|M&kvyThHAXn!8F zNIXT~%ia9|r#QyFTDjdJddG1(C2*qs@{{`=9Nh2y+-{GPCZqDp0j_kch zU;6YOp&t@9#tDj8I-%1-DT(a`k@nupXE;X@PSKbkn$8FZBi8Yv_Xy!6L82HdP8Z$* zMqhjF-o?|*{~d1-j3ZutL0Jlg-G&fFU|fv0Fjr!$dr zLUNRoXqK{sO(_xRhxz!&%|5W%2UhL_j;96EM+N76kuyY6pdrQ+&F9srLyx=IHr;;I6>-& zCCoNuu+15o;WSPNiikWLE{nncZ?^Oza<}X!c(jbibm+k$Bzi!@CDk+ zvjlBfL+^5y*?AmVS{}&09C^U{DD1;<9g9OMi|9ip1PNNW#;U<_8tS zC-L&Htf+WXA0Qp~o@HJ+~+n z$~R5{%@^H5vQlkYpwN^oE7OTrwoYVObkI&cMLEDx6OR)d)07CwQ?)r-L%q@-&DP%j z_^}BW3-1`hX22*k8K5;(b*#`nHIk-krY4cYX)H%~fM62I))!&6koy^*3iV|$u(Nj{ z2>>r;-xC%oMzy3&G++K*Uncgfv79x}+JAd=e!72fq}Ge-@saG?uD#IS2N)Q9&1~C{8A?yM2!XDTf&#L8jW%0Gyu8nPCSW0wm$ zI9ZhBwyTP4k7>H?*9wK&az!y#)#{%OMwYcYi%%k>Aq>zU(tmu?bLi2(ZWn?>t0cXa zo4ncITalBa={CBA3`^mx?uE5*HRRksJ-vMWU&k7B7*)k;QwPd$S+Ix*f9+-29TKjt01qF?RvROwk z4z9=|Kmo{mK_7iWzV&|%C(pF^0T467VFPZDlxS|E@nl7=R0S+WCoCr7DI(V-%^?ht z*A@Q|Q6C;FZa?1*pE=n1^=hN$om!Sa-FX)7)}ZQY8t9`RNs=&o@D33=NGOCXidp(3 z*Z-!VU6@gbI|TZOGcu(jCtRM);k3HH{~Enfv$1uSI%m5jQ`ITu>>@#+F@CuHSur=s z+6Imv>p*E0mWZ!f_8q{sk>Pw6_!8$TX><7&cneAX3p*nIapdu(LI6T!p5==}YJ8VS zOpEyly@f$vy*ai@2g?t(XW0JpdUI3sz%<>*L~fJf85@jZeK5H#k!CRJX^m1HduN&r^KRKnxy zckcoes0)AqZ6#FXDENN=ERg^H|AKxW*mJAqtGNYPCF5j5I4AL8A!V)eXhz~9q3QG( z>o?KvZ@kEBVwc+FpWG?*-;SjSF9jErC>Y(d& zCVKtk6;{TnF+mey`fAvPyRH8&cj5x=r2hMiqDSS`8h55IM(# zs^-QzP*n{NYP0xW<7^p=4gI&lM?RLIIar4jl!5x8Vs#(dRb1@u#%6Mu_J8VWkSbv$V^maysKC&`t3 z#AZz7TEo8%v%kA(?6Lm4o5m%-UuPPxzc&n;r|W}E)>rQ2={!@ve4bWjX?>2aJ43t7 z&m}YR&L-o-Y;mfkJJ{hIetmZvoU?jX>~CNDz`A+v^jWF@QMNCqS`mLM9ncE>&x;qs zivQ2<&hEzl|6!hH&CbrZ=p4^QkxtQ(+~PP(X(TqB3PmmTFkM?3AgrM z+Ef!_2SW--POph}C^Kb5h%RPCos zdx4-X$8+-~?3ayge}m5DQo;#}uwGv}9yZ8X=`=)#?@odXu$w}EdiVYeou0ire19NU zGCDhYbF_bcgq)U@_PyE_54Be02PKZxV96cOmDozQHY3UWS!c9On``53OQQ*@c&GtRu+PdCA$tAOQmRoeP4^`CmnZK`3T&K^NJS2C!=G$v^-hk;9Rts)HAM6W;|stK84 zp3|sEux3vodM^lKX|hmcmz~rW`g6vmuz^AGO4DiLkXD0~eFHEGIbkOGNXeY!1IaFEVF^hmU$`w&EP{1H2Ii?AC794%R5-K>82oN+HP1F5TR#2+>JCya* zNZO_%{?VQ?0^66=bOaSYleD3&8L?>NS?)EKsBFf>EC>PZ@nz>sLFU z1|(E=2N$MrfR$D)m4?a@c52SECIqS?(__DJpadY zjI&e85#=F3$}nrI09N>azIgufS@rz?^7+R8<3S#E{=ee~PTk$Y3U+$RWBeUG3g8#2 zGU@=oRI^b7*ip~%6={gp(ye?A4DUdd;{*I+txgrgyHfF3fOnwi@iAPk^I3OL?wZ?p zDkl(+&HiO2N`bJO>`WfV9e2N~C$j5^tfq?Udd}3@KvJoP(BWH*Pf^Y?8VR(;QuI~@ z??z`3y}J)|oDdSLuZK9t=94}EU?EsULE^(k12AR4=v&Mr zfn*s`j77xhm~asS_}4^D@WZoucOXc(?2{Dt75&1V_4y7#st7Yztni#TN41fh%hQQu zs%(M|=M5BzS#(9X$z*MNS4P{Gm_kKoAbJSRX~dcKaC6ROGm>O*4j4y+2+Z$8G1IQw z^3W;q5eA_OD*LC$4hE7;PtgTT6G^yZ#l8(+5=azLM1+_W3A(1(G{=d^m}V{s zn@%Mc!_k%G{LbMBEczuJ*@qrDgmad0IUXisU&PXDIUss4-a3aB_#pE6i1ju z#t%Y%w$78o&;+K(BI5@M7D94%tRlA+X{6s+h_d#f8{O^j^?^oK&%a)^E2n1tH}vPU z7_ZSC*^f7Rda6PRi-yBmi|3ZbG&Fg>UXw&hS}K?Ph01Zh3={P=AM#7v8vH6p`zd;Rrg^pRT3>ok!H(`bzWf?m~_XT`Wn)fgPJ zs+C3O%A#6XNhw`wtCC+9exb?QWYv~mjY`ldxIqKZ%a$kyrJ+uf2CN;Ba)frQ%Gxzz zgGR4pP1i`CjI6Ji6f4ZOK2V=Z^Iyo``V>7@2*}1<2Q1w`G z*&y34P?i$86IojO?6`lSkDu?H1QjPR&y8zucet)+m}5i-c5;>WzKo=m#n6GS>a!zS zw7K_rW&B?jj=$%$L8_Fh{&q5>GG4*0+QM2{oC&zZ;@!mQ1Dn54$vngNQ|Bc5YrS-8vJGJ&%?pDfBnlJ{?dn?D*T&Z>GofC zwx9GZDDnYvY8;+jzIpe<<@>WYm%-zc_iuymg2!7^PBPimCqcrd@6X-@qu|f~|KP9R zJaJl8Wo}R)+fCS1zKe=n65~nm1Pvy8{h*<-*T-*;&M!~jfB)wA{KuoS)`qpxrls+g zhGLesQWIp>HOL9&Z$-~)7mp9ydUzb)S?9!>ofBWwx%2nmpC6nZf8X9aafiKAqWl`& z%bg8+o&LS858lC>DRX2ah44#pPK45*60+lWVar$WcT^ffF%tf zPIiYTX36i>lVaulKTW5ch}#E$=n(&5_jxt{`^%TlHu0Yy~jO?h76L{cZzEg6g)?LY_$0!t8u=Q71Wh4^w??M!^*HE!}9 zYf$=93qAY*>3vJm$Unn0PBGVjO>IdAl0!mkXARZ{jt0~5KOE!eikNVH>d`dtRmf#J z?6j5$TP4=3C-J2dbVbwnSfD`UTpp-VCAnT5dee97t5>gA%;CCWX-(HnxQs_@lzO%c zM(bDm(f~vjxq#Tj$MY;9)}HZP4}7a$YuWsDGQNjGB!R0Ms?O7>raWpcApyCi$ZyLE z2Arr%MAf$MU~0=J5P~|L()2?Q{OS{v`(Q5NP zTn)NOL2STY8vmf<$)UP`_N;TGf^+DVGH7MPZUw%SgwTpSF!8bGuISQVV&G@XW5uYjA`WWUF%;)-Oy%>f;C zqdkP2gOF!)^6s!lr2rpVqPTXqPDf?N~{eljIe===SKUHOQN*%a9Pe_unkQ6 zO9T!HeWeUuqG@Da;j4Mta^3EsJ?c9=qMnx{r$C%%KsyumHHpWm%c>(vr_-_>}56o^ULSEFE{$1hj?1!KbU}? z=q-z_Wud5hb?o|SA~z8tHW4B^MTpP_`#Q(4;xawYCp8BPYeB9F$4M7ARJ7C+ReeBsyyXCl4K4No%}i@$vhOZ?Na`$ zxrNFvE;zfb*HNOW$mP;mE&>`*_4;$1;wgy-W5=$bK{C{y^_tX1+Nv~{X9)-pR%*Fc zzf3hJ7+h6~X!J6FV+R&&#f=SPDCck}bi_6{5SwytxQ_f14=@+KaB-$xu??@2H|xw# zFJ@d<%Q2S(PBC*lbU!KBW~@0Ax_yAxk1u6ci&PN57btML z5v(~=eL!nYS0^Y!kh3hX36Rtcwza=S+vr@|-kuZrD-6S`7ymtS%oc`e3W;Jep&v#_ z{a+S>@O`Zl*4)3o)t&7=Yjq2ifR6gDEtRxR>%^bYk6k5TL-DFMv4dhjPY#7rU@>-1 zV|@)sCtO?}Hqp%K#EC0eEuQ!hCCyJmhM=;c1obV(#ja`xXI7I-3%N)gjYqQ~LhFKY zAypTQ)A$-|$Fk3E%jO{#j>q2D5;tsT?v0t(B9(RtRO-F+nVy|Jjgw~y$I}PRb=lsR zjNe`Gy|$4v{aK%{b>>)=bSx11w0&K!wJh7gE?K0N9qysnR1JqUrHqY637*yZANB>A z-_^51|MTp{ux9@=e73jI|2)Lgn%QNO&}F00Su^BkU2Vdqq1=BX%p31h_0?lU(wyUj z{++~c*mO$MsdI_9(u)G_;pAKz6P&N>IgzOL>~&X@*F+bOiSD^nwGXMr7c5diDJK*9q3^DW>O5-8dRM`$34$KVnm^_`WVWr`ez^|@y-Jd3bxUs@yUTm} zMj5lNS*XOvGw1Ta4oFRuR8{~VKO(s53}4fPi13GmjU_A5Gu@c9Cu~|Shi`&@xNn^! z%Zur%knGX&tM30R<-a-R6vyLxwEuqo^2Kgd{(JFaWB>gi&&vD1jr_Nf|JDil{{Hw- zj*4a*h%=Vk7^+L|FBFr}o=aTEEq#A{=vq6P%`_elWQl>z4&A0_g1yc`MuG2&#bs#< z4~dGw!T^#fO6Nel0#U~_`<|j z(q;N6unh+eS~UxtHadBOwkFlg*qt{LudZfnC;65jxejQ1Vbz2kW=v~0D^n9flsVpZ zU3)0&9hBADC#ftra2jZxrxnD;IJzpb+n}auc0x`S?Sx)+dQ=Iw<_xLO?_noO!{D{f zmxCE&Lh;X3lRzc1Fx*64bgP@@a8>v9y?7-DR4v~Dl>GrGgyS$_5l#-004ra9p$ zPO43>M=lr27tl;iR7ovPa!$#$3P7(4beLtzO7n~9=N?`EO$-{@*^={X*f&l99cO93 zo$eJ>{!O;L#BjO2Wt!5w-tLOh>YQgnsvqJUkD;CZmya^=fAyYwe#Q3%jcW(-$Oht=f9U|e;%_)Jl$A#ZVrRDKMWpy$RO6R zBSB%E#G~1E$xAo_{#NG0C=HZct0dn?4V24{|BkC{ zc6TT6lPS^&y?CZwX3n)S(EyNA29~Bt_zfEXeD_{2v7xyzDQ2(T&$W0$x0YYjSTy`Sp$FBI5iDiv!tNoahEQHmBd9(Fmj+MEF#&iiXfiL z<|PpX8j}c1-YVFfxPi|B=?NuCtOAF_Vpcp1*zlH4d31PkZem7D?f`Mf-wQmoj`T|; zzm+8_26DlCac=%pO8Wkq%;8ShfWdF&)VxDm#mWp`n>`p9+ux{ENQpdy#7|3xJ1<0z z#snqoMxNQ_LJrX{vM7X%2Z~~3^F2Q`K>?t$n6V;>Wp(4tnaZ7xabWr;^;E=?1cK`! z!BEOjs`td0A)1>+a38J5{#LovN-;A~G*FIWp*h5d-(x{ev7fpJF#Aq-Nd2nI;mtaP zI&Z1_$+?O%0A97@Y}FQ=85tfV9>(dErZ@?Vw`Ccqyv(j`95H(k4-W#ELoTx{VGAYq z1T&J%&6~jhFv?lZ0vO{|j;O$C%$+e5J%ny(lAsyB2AkrX4Q#PMGI@iMVIh9HvKtt5 zoC--`2r~~bf_9$Gt2zA!XhONj(H19D^5Hy7sM?YIM1+H#KI-BMuN@oAs`6NM;JLxB zbbwv4o&FOVhjlvtfhF?o4}mMre>*Q;RP#R#cXys`&VLW_Y|ek1^WVedf3}PSM29R( z7VwA3=_utrBma~|)t)Q&M^V$m+ltgCFVS)e2r3hll86W)k11PZ8bWtPF;<+e54l9( zz#?TomL%*({!$T^{e;k|P?k4d4(Jlc3_dPBL26fy`a#g!5f!*vtM|Gwt>ph1yIs0%K0y6Ax=2K@j?z`9W@-sC{Kj? zvVU@jvILugbb`h#pIzpOxWs9EsXkF*LniW~U4>xjp;2^V%czdI?mrTxGE9?48&s)x zqIx&_4*KB+e5|3`8llQG(9k;zPlqjr3$v%HZ3tgnL8^k*cV_UsxA4OTI#d-MEoEzC zOGn+sK|3pN&9HDAbCKw~=Zb**DcNPO|;JSc5@z;@T0 zNMPY>bw`z++H2{{S}$}I%4N{Dx`FN23d(tN*;w3u={JznHCcvwZf+GrBW#AoZ3f)$GInKaEs0g zv(+jd|9tk~%>%bC-PW@RUrP0*mcGm8%_^I4+tbcQ;nmje-YUE{3hUZkuR!sk$73DS z>*}y%oX#;{tj|1j#Zz6cWmt5nTkw!C9g_-v4?QLg|Y!m%AZEXJ|>8hIlCsY zvRunKP6buQeylNKM}T0Oq*Tmgcj}kR_FhC;KjY!e?5Ega<^vx^>SnX^&pR2B#jcltBnM(kpONf0hFe^jaFcljoNS+ z1$SEqk3y3KUo+mmYpd_~vSVw|O}n-JWcFyqHa!@sM92MEgR{G`@|H2Xvb7JWdLlrr z#yz>x`ilLyQo7?_tdVXeTKgW{JRz2jzGI>n69MZ`f6zX%73oNCRdVG1wEy;vqMMQ` z*`(5x2(^=m0(eChm3)llL%}gBgGFkcp~@L-x^8w&SSCwg!FrZZ%H?IJvc?G{h%P|S^K)Pq<36^^w>A#LNlv0w1ssJt1TE%TeUuYKPkhg zei=Y)XZN1WKv&9tT2Xj^`G218?(Xf?^8f5@{J$UMX}$m5zxelyr<+^gjSTqZTAd>f9*NOq5@$P6JtiOga+e1rPoAxx@w_Gi2yn!Sx<|GcH326q79y*1EhtN zX4lYx$^$*}gj0QwqrZDG)!pm`H!~W|98XEPxA(yF9nZ6bpvdma4FPP;^^NLt?TR;4 zp-R9*_BBqU1zhmJ{Y-o203YI@!7@?*X}(zZX=7^F7=BY-Of~1od|YPH?w-}6#G*O( zWlI!yx0;&`Q-7!@#q>YiO>WEz7G8!-(_UjbBo&RPl1IbO9lBP;{;(yHhPn4I+FVSOUA;&1>rp)}2kJb=|YRcl*PJA;VGZ3}ix5_Yrx zl64bR))y|inGxR)C!H_p}? zce$egvreay^Fut}5S=n1sNA(!re+J~6@h$UMvRO~151oja#LD<#Dvpp37F=}27itr zY?Qs0_W_!XbA(=Fn&@Mk&Fob6?oC=|H$GaHlK$(VdyX2|xM|Ac-kSnlS|F0;s+7M*6ikZYBkbP&xfIxF9hM-ev48Rs;BFYrklv%=x`*8IB_)t#E;|bXDQBjtFpe6Pm zfC@W}&EcA)vC{Zb0hN_f0#9IN@D^gYXG<-xO{v1UC26b^>jIV>t?XiRh_jO1EhEZiNBFK9f{D4<-jx+0~& z6(m_83YLcx>T_l|KLdskKn7^$pLJben&Sc$a#p|eXd_^$U6oYQBY-f93X!w94mM@f z3(M^ytJcmPS&SSREiHK|=?fb78^op5F~;`F>*zzZ3MOQbtdtVJj$=n1Kxi2$BJf3f zRMeKZLxv4FHrfvC{?aTVj#Hq2SF=?KlT-HOv7(LZShpcE$kS^uO28=F&3oI#Vq_CEsh3N3zNpOKq_TL_% zKfQZ@hEC7k9lk%fIDU76&W_$3?VleZw`n&97mSIGo+W>@1<(4@iKKUqTwn6x#NRs> z%EX()&!^Q}H6?6nnYkh$s!()<11FA2Bw0?*V7rS<06i(I>E^~ojyREWJNZBbP?DvF zE!k*WlHc|a+QL^Dkq=7PS1PZ5h>lZL6h_8&$B5cxyk^5fL8UvH5UzmcGpzb0+l@(- zU@i-#re=9bspV;c1x*%ZP~fQG5|k+~Ti2?#l5Q5Rvj-z`tpcIY97IbHD-Cl8dP=N7 z=!SdN9;)fy6=TGozSwGGXz+*$Kx=Ymcm zeCG{w%?&xnd`hg3RBK+WwS1uf2dz0*cxoy`y=rem7(MHS9+KDKPB>zY?&0dZX5{{|PYh(=Q$(xvDtw;5LCx@O>9>F6YNr#PR< zze##60!SWjb_ZziQbG|VHyr}NTY$MY(D0#O5m#%=!&&X7v$gxGC5`*&FNLtGS=;q$ zqjZ9MU>meQfnxGcc%Jm3l@@$z1L({+-As)D zu1=~mFdfgR4$PebZSYs4x@ywYX4_#__0)UgtZbr`nr+aG?4vJr8>b^N zy3Qr@(7~t-SyaB$5`f;}iPrg{Kq)ZwE2GUcDc}uKwXQV`Q++@bhjMUOPVvWfd+9FO zsQtII0H`q2!d2h=Y!(yRdS$Q-9E97dxI2^*lN699Nu*ZU+XhkK?Sp|2yDG{w2b5>& zHA$&FgOz6}eWBy4{PdAi=<~WwRO23{t}&;0oXF#x4w-I?VbD0kSxJwUJh~m{`oI>+ zqm3+PvI@*`I!(Y%st|apTGB&;%wA3MXmbEu=K!dixgv`Z`iU$I_Fjje-L@+rn+3p* zv}4FrnCSl`y*30_Jvu)+I6JzyJl#J(|K;7;q03R5S29VjUvoA$sjBK$Pq2yup}z-L zWDz(SjU2PsSF25-Dvdc3sg}Pa!Q={3_gkFJW$#qwpUC2jOe(3ATiKI$z7EY2hvE46 zQ8m|HiuTa1ojL9ib=6i^^nuMBsveky>uAEpi!$5G(KX=M=Gk za|^B;XjER!{-9okcefp|}B1 z6k9wb_0_V?Fy*4`gyfD;hzhliH9wakhw_oogr_-~Yn3U$RyN}^y?UZUXevu0pbRHmg4~INwal1I(BM= z9zxnupaSerV{y}LKj2=Xx(d@OPW#uGCU~h?0rE`?YTpp@Zd$cfi5xG!hOGhe?F|}R zX|O@iv(E>-VfgXoV@t$pb!If;0%nLs{45;mo2Qx{5qI4E*w>qI`MFyVnVwRR+%QTl!}$HGn{=;LhdXzp-% zYmld%M;+#_GuBdmwX>j#)jK=ebq%){8e5^|UT>$<->ihGuRhE+CuLIG)V`HYVGC79 zIwO}E%LjY^<`@C_mKmWdo|TKz+C-R>mU|)R-tMQdv!BW%0iDl_T#Nqd0lPL3*cMuf zR%z5!!>&EEt@1{1Wv(vB5fyG`aywRcVKDRbo+3{GOyPsX40HD*8k78nkkn7BBg!7g z1~N_tI)RRsP3er1ws%hsO#lWJ)oT_YQ9yK`yD%C?e&a_ImWUo5qx>46_PfPCXSOi+( zP_1H$MLDjJqo;V}UlFd@z<_4Ry??O0^1~vn)VS;#jQ(JZ9H&Ow|zjQ$&h1Q&w^rJ!KTr z*so4?DU@$D6Tc7kTMi`UPz~&sNd_5_hE?$t{jCr=n&T^iM8UQE-Z1C@NaL$G>++M{ z>f45{*8{h`0Pt>1@9YZGzaMm)ayhA=V{VDwU8x?W@KUpxq)}NDO1M?-?C#FJ_o?gQ z27|#W&eG~HWoekY`P=*p3FlYe@+a47N71Gv$mh>JDMa~;q@E!%K~(jAdX#V3P|-$*y3wIJ_x>9_>PC(|w~GU2~dl^rKy7)OtG7 zuN@=xfAZ5I{;x{2{RIJlm&gBo_HuVPtj7O+xwFat@F347|I;S&@4e-JZA$!rl?v{O zK!&pzCm*vhngDfvuqU+Tgi4n$Y}Nugk>^^tl-f^YbG;zjD(!nzO9}_8!^25Z$sphs zY)Jl~Kcg*5LWNpisqEZNAAQKMM#Gf1fsnAd%4ZE8;Md6^ixtc8)${QCJw(3Z-7vKFX} zlWWQfb%(5DqV+p;@90DR58FLNCu;#I1Ub=NlHCT!y4-4#VJ>%n40llZU*x*^V~4qI zYL7IT3mpIm^2g+E0-1F?mofypNp!9EZ%|&Aan>hA8mR<*^*HNj%f{%GM-9qS%olxq z9vrB|*v)>K;F#%?b%bI@%-s?7)^E$=Gn2*hNJo(!HC^PFnh0=UrdFAi}W+p=>pzNG0yE)`v2 zF2=}tBc)umQ|HWtT9ZXem==}_=#NG^vcK2Vd+_+ycPdM-z+f2O>X8Z1mWtC7C^|t_ z1U*!Nj^Y=3K~1_t^wijT3I2%m5n%3TstE%iX%M*ysHE=F`RPk_YU5LgHxkA zy47m6?@nDanof)cNw>Zo=Ruj=Kyot|g?huXuy?s_IpO2VWr<-UU9%xPZpnraGdGpe zuFo1=R$NCGh%gu9kfk_-BcmSQfh;ycPyVcHBcpzYcq~tApgS0(`Z{8<*8SpEaQ zAwUrv0ln7_^lph>R0BPo|Hwiq9@Ob>X%c!QpVxRHeOX( z>j7ROq21!(w9dC18kYZ5nzk#q485yk?>5wE>sg7D1=m`%0Xc~2=+1}0oIXq(F(Yp` zGl0rz%8Ruur&8dbXH4Jxw|&KOG~Py+unc$8YiDNIvqCvT^q<}YU4P1y^)I{3p7!F) zCz88YTpXMR4U=*8aIQ1g9&oBve#&`=(^&trV*OXRP`^gzKI$;550XOUgdd*P1D!~H~<0C0nYH4CN!r+gg+Hyq6<3NI&)6vTCp2kI}?>=ukoBF zi_>}S?`8DAOY@T6GQ1Bn$?S#9-a2PaAIjH9kwvsz46QF8_RuZn2aVSc)?p!%9YIIeO z56Vc^J8>hsSu|7KS+ADp-aBu>H&2T_(@fF&#ze%J)>xd>zKvzmDXrREnNxyP(=;r&^%@U~ALcYRsVOO{RVlUcF}PIVyGh2gvLQJ`lRc z6UJ-nw^cfATw|W0-wcbN$f9OnWLvNlcLuw4aa8xP>i(r~?@M~EXIb8yh?$c1FW#K%>Jd&6PK1+e z7!H7AGQ-!DaaFwh#-`JRKv;sBHFBPaBhZY-2sPO31C9o&Z%6Dw%M)RZ5gjnvq*=;= zE<|H+>)PA)Ot1-1yichzju#MBV@%UBmd7XLHueeCJ7V8im6DigOO%$6c_PZgusj}q zT6qL+JQlC~_{lvn`={KdGjHQLxICLS9Iy4ERh?wJHqvlpzWYWRPSYZ6jV!T-=-L+(}Pd-0!jx+mm*ib90bfvQMDEVHQE<$kfEVBrH%m zwp^B0cZ&MXWW0k*h7{Cp(%YHvisqY+S~?_0?V!!l^OD7Z;cZjr8cuEoVC6c+$GO5j z8-d@^&2tZxVW#AulNgC;Moeg_0k|g$WdRCP!g;Mbqb5^DhDwMOB-{ti-o9?mh&4!KbiHiUqYEv?G<8vJv!@Om~CR9B#Q8ckZ{t zJ599aWIEHf2KEkJRwnr==m61}v4nsin6Mj-ax`O1kTNP+BG48rUwf(DM~v5feNk{3KhWS-?p7u|eu4i-Rbr_r|NFs6{VYp4rUdpw&bG!3#6Wif;^K^~>pmxdI z#ei5I|6#cIY`E*kf7l&9-+jJ`|L_n`bNq+hVN?8vr|2E*x0^T+n>Y~N;y|=1mT+A` z)PC<`KkG)c}CunG}6oSQy>?wuXHJ3RU>c>KSEKlVs8V<`CMn{SYaIL&f2p(zzJ zgBAHea}=?dpvO=vKyDR@h>GCqsP}}y?2o;u;0YRt2^#!2I(>J3VbOaOspJ}jx6}Uy z)BH8#|H;M&rto^^2NJ;Lgz?M2vGJvCux0_LCu2+Lw)gI>L#HV)Xio)O^#-#?$N+7b zTU2H0-HeP*PqYPc^NK>BKM#x-rJOqhS$HsEeDF6m9@xS?gy@}Q&Hz$ZMd^*ejES0P7R!4y4^8e1u;c%}a|L^R+ z*u;N(kf%ld-`T`{+sOO(8~4p{lr_S?dEs8x3jt@$DJqSxj0m@+UVDHzU8)`@u1pD_ z!=J(Q$8k(0UFG(u+cU`mTySRh)aMgxT8^{@?g)*Of`G6l1{r4&5rXl7Tmib&qepto z7utCE_?M6U01v zggBXu)P2!tdwWXrSuqYHHs6jICroT-7PkS*lz_ZFPS|)`WjxwGWDzLyVI^#nL+XxmD~y

wXx#u3FPIJ;&Ga2C9acYludN?l0tA$Ix6Jqj>B@UXs{1*oZf*b0x9X0(Grh`=iPK zahs4|6@6VdON`9 z@Ic6kAGBV*k4~zc4pqy8wgFI$OmrIFk z6I7lEB|_Won-_P_G0L{unZ}DY5|()B4V$KdLDqg#bntnkDFJdep9x(nI_E(YDmL&d!TYZJhy%?25#$S>YY#rU)G=IrmI8kq4R~U z)!K0*zt%GZ-@%wUim=VN-N(4yo^2XMp{`jf<+|Zpt$%AoJhfWM#XVhHH9qsgI*gThA`qY$L*qI`f^|?sIji}n z1=f^Q&T^5HG$P@g6={C1Kn6X>IwZo0xg~4T5-XN`kYFJK<73&Oq#J}iM1k%L+%F99 z4H0Zk2Il3`95+rM6omC6vW-o0_(oIP7W!D)n+#J;cD&WPP`<^ax3TQ!&)dsRq*@f+ zhFFOjofhq_b8qN6Lh2DgksK1^b*VfzO>2`PN#J6C09S1~SDh1R<7p3>SQ~obT0^8c ziDo#ZVs5Hc%$XVp<<61D2pV32Nt5s8@~0)>MjH;@qHwH>gh%&E_>Og9sc0Wv`eLiJ zlhtChE*1^ZN4}i@b-i~TnHV`agXtX_|87BYmk**qDe!@3r)9Jk+u4Q*Yg%%MdYn#} zzLSNw@Y2}Ob>P0`^J~M9{TeQ*aH98WZIK8p^olIhy|#h&tK7=fHS`itDmdS=#u5Ss zl6V2q&J16hV5sen(~r^0DU`6OSY4{w#BkXo=-fHhT$@bXHkZINTdHU7i8YYyJy^wJP>ei!EP8w1YHJXDE&x4McdZd~V*A2EGzc_InVl zTiJNnuic_evFSk3l`hprGWre$L8XWdgZW!fEk3BIhDwrnO?@o0`z=L!l~m@H^>8d{ zxm9NDxvQr74nZo0Q+L-LpXQa3>NHlJN2@wst&^jYr={j5Xk|?k%ht;^**GnhSS&Qg zkHhHn=MWuFz);H}7ZoVu?3%_TeyaHP4M8zWpX5-l-I`8*J^eXwO?n{M593~r_?7bI zs)GHlQnQ&|7sgk38I5eXK}nb8Lfc&?rMs2bwvoj)ve=U6tIA?sMKQ@KmI+|;`xl8w z%@Pt?CZIL8X_PUYWH3pyr9zm?Aa9Y*r$y{C)?1fl6~|HB08&}jv{^Ag>feXtrg=Yx z7`WA4+*rMALC~@K=MPlmG@TwKn9lo4J?YJMqc%ucQW>_L8kqUdEGg!snmEQy6;r>+ z<3t(6*OJ9Jp>%5zcDu&ti4RUg8!6&`qzLe>x_Ec^ZiECeC*}yJFmGKkPPy2QIZmS)O{eI! zam*}JNSLewk_<(f(?lZRQ$mmEf!v_%&h!{6I^*E#3*w+YRF5griC7+72=Y+fh(cdO z6#6n*2=Jg?g`$QIeR-b4KK6fw1;z$Y(e=f%b(xUxhsgku_>M3bwl5TG<8X zvoL32J|pUt>u9@Fq$)vI%o_7WMvesv)Nv$0p+df5mzQUW`4Z|D+x&RlDqFhFCzP{4 z;d#<;uHBZj;Ed#)lIt>JtUN?%?;~K^PhnNr*K@f#lv{%(@&G-iDb3HAFFkr$lZ!D( zI4bCzEvsYR&t9?8b=< zxyZk0k6FI|Ki_$|yIbA=hr><&rw4h$5M4mdV#crJ_LTU0JytQu=rutHFf|0)&(;1V zxBIm8@1 zQUequQt(K%k`u)wOV~o`{n$jgr%E}7rSjENB*-=4IC0wV+4dm`QpQ=vB)Msd(63j; znDCU8>#!=0|Eir~!`_9Jpb{z|$mJY#xw5~)#GVv(zn?RaYxgQiOqG|qi7KX(F;VGu z=T~l%s_Ilq)DygXEIB{s+L+B67(oVNM zZI%828GK(Gt!($_6M8L)SATy$82;*+0sPtx!(ZLt3%@=PhF;>J<%j}?etN)P9{@u? zV{K;?T_WBChP`jf>jRzZSI)@I)HlPXLQtC^V@rokjv8zk#1vP9ci$7sYx4Jqp~`p= zPDv`I%#|4G;tM=~F!o_F%*&8yEqH!i3`u(ZnzOmW^7n{g_f6sfF{J5~6CpwsEFR*Q zuE0}bXlgygHdevV(eH;sBu&WvelTn!)0%S8N27%E%8&MQR~_Yl1QUC%~z zTZQIV!mxV1wgk?O2rEUW{nkvsNsm)r@7k>FyM) zMp`b5N9c?QmguPP>Z479rCrS+NOsOpOgOzJJ%kw8#hOwgbih|`dPlA!U`lRE&|@r= zovx2i*z2iTV+nINWO1+ZJbF|vem#WMHx*^v;%h=H>fqxXy+1w-n*h4^v=XdJn9B)H ze839{0qL~IPgO&b$Y2|UyoBauyPkJWGC&av^rGfLj0?UfpzpifD1_KY~ciPq%+)Wp(P=P#ihSa(# z3-=W!b4iPzuWvAPhxTNdfwGAkC@WRoy5 zHdt!1LY`Gf)uCK2G-lTSEo^{OwH&B`6e98RgG<(80XwdBD?nGlwu1BlR&MAWAPqIp z76ex&F^T)PV_K%2J_>uc=UW=eEf|-s`IR|Wl;C;Roo{KRl{2Mv51-&fD6eg;Lo?2n z1K3k>9P<$hpsB$6lBndA)we1+@7~@scoTU^W`#&bDBvWfoJ4uh>nR(AUbm%)IxR)# z8e31RDZ`2O$?~96l^{R4!3$9v3X?(~f-WfIYOeIg*k|>$= z@~g;5WWk1Wd$FPLE^+O9QkSuxs5Gx8i2V#ebg$610}O5Vkl*((43`XA+mQ9pvO(+h zek7bc5t7N8{;~-$(56YBdi^cQW_U|a@fMb%bWE3V5;uSf&N8umz0*TTgn}IO6;<+P*RIFzI) zzb(*j1y1OMlGu2*!cu^KG{CWDE+Yti12|%VoKpH|Sme&R6AN`PEQ8-5nu?s@7-+*6 zG@VL%z#d8vD{`I1NF7vDM00`IO&WSEQkk`kkoATH&d4~O(iA6wjfiO7AgvdU`Q#n{ z!3d>7+7gRJ zrKBt+M|nYRBC~x~0|B+>FfW5JLK1V+?w|r(cfrAG=-{t<5_HVcVGt00LET1ZE0$Pj{^v^bM-k;jP?d4i?OE2CFQ+8n~>g>#|yO z4yT^J>V=eFCK(YEGflV5>J;ooAqT%HrOg$;laOxZtsSo3blw($|4wv&6342SW&G zq7Q&Qqy{%<=qhD5XvS{T{ZEugG(9-s@BZjk72%8^&`s)EE-ZAG79_ny^TEp>F#p)~ z3Zc)E1L$$N<14Y!(rir*plXWMtJkp-^%k%Gu=LbbHQ=;2N1pbCP0XzACPv8iIV+~K zx(T79SE?HAHQ;5ImxB}x@^XwUK0S1I7*)(PN9e*0x2i%!q*H{`#e>iay~PVOmRv;X zhd@bkc zfxuGI?ebHhyv2A=|2;6R^lx=13NXI%)j~R^l{@r5DEoyTkYMTUsB?>;ZV^vd4C}sE z9#PY=iZbh5FIj!tVuVDI5k4qC_R7tm=WiuAqm}!ISLOl&UL}~Om-@r-)zB+@nQ@l0 zh$SP43*+emPNB-ny-IU=lnpq(sWtih+lJb&zU}pTH!!u#CeU$bb~fcwxUh=IwJGP* z+@2;!Uh;CKbT+VXmWAbZ7*e+F13_p;l6fd*DpC`C7`zOJ;jk3|O+}7V*{oK9wU(UY z6i-P!7%xWXf+h*!o+#o>gh74KbIhkCS0hl)4u!oOW-)Ny+Oc{z+|`3Us1K?O(w_lM zv%&-V>f5%3ARKMe|Ht0d^tKTK(L28a<-!DZd0T7v^@RsZ{n zZ!oYym8GhZ_mGR&Nad>}syIRXyPGx>!kJzu=^DiaBT zC|+(tCZWYP$J~9uxTN{@{-vXxUVh`=Q5H6hr(L1xIHI!!mTc1d_I9RxK=^b!P<&*B zs;u-z@_BH~$9XpqF+MW+S>fJc%m*O`5zXIr)@@_=4Gu)8w2$G!%G<8%QrINU0e!~v z^YA+b^_xuw`u;p6piTGYE(6Jtlf6uF{kqF==!Yp80&Lx{@A{B|E0>BIN|(*V{ivU& zYZ7~)vvcWzErNO0gmQN|g0I)o@snaof15N9j^0Puh~K_A{Ep#)(20=xuQrWiXxlYQCnCIC zddk|qX*jhI+_Az|*f|YH4RRY7_D=i3fP%FxyQe|dpBrpJE$doW;TH_H;IhpCe3N9- z<@lvv0VGQMmtENQW8tjrIr$G?!&%H|a^*Ckwzw-zU`$-v9}KvMt)rFy^+eI@b-k|F T|8o5a0096095Ce%0C)lbqMmRW literal 0 HcmV?d00001 diff --git a/smo-install/test/pythonsdk/src/orantests/resources/cl-test-helm-chart/odu-app-ics-version-1.0.0.tgz b/smo-install/test/pythonsdk/src/orantests/resources/cl-test-helm-chart/odu-app-ics-version-1.0.0.tgz new file mode 100755 index 0000000000000000000000000000000000000000..b78e502a9a76c77b8335587ae183605041e9605e GIT binary patch literal 25346 zcmV)sK$yQDiwG0|00000|0w_~VMtOiV@ORlOnEsqVl!4SWK%V1T2nbTPgYhoO;>Dc zVQyr3R8em|NM&qo0POwydfPa%D2mVDdI}7aS+RO7T6UaEYj&@_-L}*1)rsR}JKbx3 zGxKvpBqU)>5p008qe-&g=e)*wvh$&EA;617C61Gs5x=j~76}vz1)xwU)P=>x0B6~N zMq+S7xS%ZEIh2e+rRf{=4BPw^i-jKgokL`m-AL5xUvy;ViR1cf(;g?8PJ_X-v|H z5;5uxP>Sbdgd#Sdv$Tg0Cm9noXM8b2kN!`>BAh(xA#`Ja{NLSS_;w({h=|iHhnENF zN0Q7@BT5v3mVKuB`w4 zXU~S4^?x7F8q0f@=h5s4e?}e z*qf4+aGaBPgo3@{-rit$IN1I6a`)M2@7vMv+wj}H{oVa<_y0KD9Sw)UX7#W0G_C&| zoD@XdodB?6{qOHReOlfB_nz!+*8hDx4-h(J*@DyQEJs_1eY7{++eN30$Mh|F!HP7- za?cLYL6RUSB@icq@Ea0`y$1+=cSnze^^!&;6(mMQ8WWE489@gbj%LJs*+yDAL3`m4 zZOH(ir?%ahlq7wGj1ba47-^wY`d(KaG9pAnA8+loSA)M1# zVm>1XFhMzisvJQ<2i*cVj*rB zk4NaI?D_b=_AmZN{NvT|m;Xs-`1&#VF^L4(n;zUg-@n1^hacwub@OJi_ommQsTx?y z(uhe$nBtr@V&IgN9Fa!E_F?qx@cCY^C+NILO!bt-^87N0i^1;h-ku)lvm!|@NW@7l zM(8hpgHw(fg%JvZ-lRy9+RI+X;)670dYO#SyASa0fZ2lEZ>2)zCAv&4B z9u_lni&L*BPPHOcz0Co+2}=@oOVcSpPiQKO;P~%_fbVnJKuRJa1m=rv=szcOcB6Q8 zRPbbh#vB7}COP5gQAz4Yp$0mqxt$SFR>0`Z_3t^E1CQF>d;W^*F8)>!(X~cTu8a$k zkVvi+n94azq|C48_Fw+i>*2|SrZiu`=PxZa{p&nU^1t1jcCKb5$p{x=o_)emV1@ku zWcS%_MgD)bKYYBA|L@~@_ipE#-sTXnIRyMW9|FGF`S79FgQal%HUs%!MnDy21{`+x zP!)XlZXl%!ni3Q=im`Z30yHQ~g8UIGt?H=+8vd*_EUr|C-b)!NjnLD_@F$&L6q5;k zi-JLbG%P9JSWFK&!8t+Lwjkxi1^TVP37t?9%gF?N2z$Q}1slrevIW^6fyN}la(xIk zC+MeQOn6FiB2>>Olq9i0@;C!Sp=Kd0rLFM-sB(0AAhA-L%-JVU1*;O3+Ey9WAt73 z!7XvL6j4CJb44yy*Wl^BTobvB(=?x;;16Q(2N6_YsYbg`wdOy)3FwThTsh|A1`9R1 zda9^W2_BQA;|N2Ia(JuVaw)F-Kp*thV zJQTAX=)nlRdnbe^xz^%TPhn|nl4 zkxOyF?~l7In`VD?qtK^WgrkTR>ikv+(zUBl_dr!WZR2i!u`p7IRJNO~rGzNCXV`Cp zo4P%B_={;F(0>G-0MvNMmlk|C4?N4yf9hg>PSQ^@2U&Igd-9}m|GPKbeZ0Fl|J}#4 zIr?pme*ex#zn*IVg|kfT-0b$QX&R5vk!60pImvO1a|~MiPNIgh!^+(bX_-d?KnOPU zWwjG4-n~aDO=FVgXkWsSgw!`fa<&L1tmysMy+~4x8sSMbJI8r6d+FfO0h7AGSz`25 zHDb04-H1af(*mqAgQb6Qp+Lw7JGUA7m8zYyKjV4gwfwxS=7<#PMdEev6T4^|GK6pJ zQuQ9EM5cDb^C>;6UfMLmDydw#3TkddA4dsyP#ctU+&yO5I!keB!AG^uBr`_YOlrwUsmH4zvcZydd@QBk7IXTSKhF*QXb6ULBub z{rLLg(xV34EBhTX*P`K!iM)bY4dB`9^X>pM#@hg19G#wD9UL8BTV zT23^Z8|G7zE7`)4KAL0-Pek$0m!EXf+W%^|$badlY5xyrB$?A`$~d``HdgunJb7I6 z|J&Q$`2XF@^8lUUJSRK_Q)M;i=ypa@G%jco1B1rVHJ%b-Euck_0h2*uMv??g6E;@5 zCD@=iNpMbY2+D9ibKWc7o{}jrcC?joGNErttoGpF_e1nLO%{lyP*b8Lw+1k0413|x z#nna5VB6ETvp*kRpqO&e3#T;SfqxbHy>R>wz61Z77qjV({KxzhH|b6ZXpE!lA_E~o z^u7tjZPxoH9OLWWH(@@Pe=|;}y>I?+?*aN5bIJ;VPL7U6FU&amJBjjMNMnL`RB_J! z-V1L;#A34Z&%n-_*1s}k-BC_pci;`YYW?p%8CK>0Cr>u(|6ZPo|F7q!tJjA;4uUub z8$5{MG(`-AK{a1`p6g)MC-6)6bMrJmtJnXhdjqam|GWFo_N({5!@bS=znABMbNv5* z{~xqB+jpopatIxUnk)?WB%@4bA6a}?nejR~UZjBqeq9WQzh z5Ka;#im~Eu;T>S~rPuCVJgfP?;|+rGL=k^Ie~{(;e|PW6{$7Ru@9jU{=zs6!(f%KG z=H9RgY@->S&P2`$$x%+CS;`VNr9_|~=HnkX`@m)&Sh){4o)$@SX`B!g5qX}13(h!>G{QMA$omOS1c5J;-{N$H0uiT? zx>m+nc9n_|3K*Y;Okg|-F`fjvFx6%MkNhWODbC~+w_mU1;Z0;XA|nL#WdT_f3S`AN zq0yCYQbJbnEd-1w%3A6LG^ET%3I9JCVOc_2OP^sOMkpq8w!8Ou|H;$e!{-Rc^eVwQ z%?ogLe*8Qf2F@3jPR*ymvpw@ofPu|bLE{nn4~!>Q7SC(-8%$t_yTR^S%S8#!F4&y>^u%FEe~a1jy+&~6!u}bPQ(!va$UtGh$#qDvi>nF zxfp%;@W_}@u_;XzDoa{?@f&sOl+N}ms>wlbsAueO3{#cEa+0Fb0$J?*osGqg$Z<|4 zMPhCmB;jdF^TUcElY3F47>z_>pvKp~dibCJkxZ#>3w6ViVoqMMBF)_koXJues%{O? zTvj{7`D}!O9hT)gILmfmAy_Mr`i+uC)W1X;lz8`0gAId16EO5{U~0V{=#mY+ulXl5 zkj%IuAoMV1x##|bLixrip!uR(NLH#%3ly4?Wo0_?%GQZ2iw@eUrzi(FYT|K%W112n zd8#%qYp7S+m)Y7o@7^`xV&R=Yd<+^P z7IHu1Q=z^L26pxiBmv;1?0do@#i*8)iRR0{>r2C)HGZ>(PzSG$FU}4QkJWmSJ$S*{ z+!)A!3*kALlm;`E+g9@$3aZ6ou3SUABlT)VOl33zREaHs+}HxcAw(lUUNiz*<8ih8 zo-DrByt0e3oK#y)W9nEgHqIvVwckGFFrFMtNt)lojH|M})x4|&BWoa=TJ37RYc3F4 zc}JmQMnl%ZaqMzI2Pcb?+;&xwoiR;!{92(fMEZ~S zdJaAK{Z1h`v`W$&xyhUTy%jk*nr@>@$gmX7>RwojRYT5$v$Lxg|9zq%JC=(UfeGyG zfmcg5thmWl!IMg5d4dw7ot={9gl0Oru5_@VKYSQHAAb9!1v=wbmJL-JVQk)^(p4%N zde(?ZdmkAGad#<+;&f?!C6F(HpbFGr937v%eEnxTKuvqPk}X4$-bi+#v8 zbjo5Pwh_4@X%5kWysr3%i2CqYar?<$_}IbDuU8v2@6@sc>h9xkuLf0D(?B2mNRouv zgLjC~VL~C2P|VUtx&Ai=?ZS*gI3UnRoRcXPIpOkT4yV-vhM)4jST0rz?V2zNt?^Jz>kpRKd~d?pGO{F zDg+=z=2^ZtqQ*yw#I%@?&?^}9)th6hgrNR-g|pGeh$t0dL(}XP1aKQZ8xFlqwj@>4 zaDHhG@A79o{l7A|r)0f=P%G|#pADbxR`vf+A3xpb|L@~@_ipDKbVKJOBuEZ=_I#0% z@8*&$(Tt3c)(o`V5`0hd6wm4Q<}P@12k;Sh0OuqxxEvix67U>4Fg`x80fNT7*QClT zIgzX-LJ7bMnM!zk@%nXu0(Aippsj?890lJWoCosX|6kDW1AA`Od^NWqt7M!^2qLb9VR2_7^yJT-*IigVCihB->0|4#6t2jm761mDpXtyeuxMMcMJpQCHlK?*N zn5h<*En0oVnhEO@PE(g`s0zYxWeb`rIsCwNV!)B z=wWBtJ77&$9$LWefguP`Sbq3;Mp)89E-SMD!1bl>H|oYL0FrN2Ddd!}gi%+oniwdk z7wPx=u9xeuzN+X1#E&gFo;VcgSBu)nWc83zw$cdwK?E*YmoZ;;SONW%=ak=~l7@m# zZyk@-j3sQk_(^glAF&w|xz_Nn!|d;F8hfn&?xu0c@7I~e>+cPN=IMGbll8efc{(0bad;Da$iT`#lPqSuc_gi#<=b}ib=vZ!XoTW4p8|}_UyR)8! z=Q$B9(Rn83SmcCTdoOLO2{D2p1th08L_3t3G9pBmGont)h)sIPUehRGh7%c^O*u?j z%(Itbnj*|ZVxE&|hEpo$hzgZ4CLZ-bq^n$TRGL7e5{3~at6c(H)Fd$uaV}6FnvDzX z09DOG3}qj_MS+3XV;t_llCU9&u9Y<1x~1gdUUs!0<%kSr)a77RE!%*RM3&QYMI+6X zTH9C;_$sL6Hx%SV{oV3%QhVf+DQfmyUZ4a#lJG(b4PE;1cYn z(4SwwIY(#buaDjw%9V`Hk6#`iTpS~(Wu<+ucEv-j75PDlV>Os@2XrO2lC8~1GJo6| zZPVu3c-zuwf@vZTLEZ?-ts>*>hQ`E*w6bQS;;Xh2uJm#;&@OUL(y6%tuC9r!QmX5! zXsx$uT~sS}Tp6`$t?jJazzWC>wG)<3R}Bm72S4aco(Q9q+?I@UjD^|({c%_9-z!Ol zoD4mLI8I|W-$oR&k*Vz?Mib8F6(HNS#0Y-GdX?A9*ya~BwlmGdu6L3@kyI4ixS5d~ z!WSr~a~(0^7EBe3R7*5P8i9uz-~{`uY!M>UZ zs)XHw!vwxz5@iEGZf;W|(CthLR8rKEq6k7UlMA}YrX0s4lpCHTt;I3IDa!FRQOL5C zs9X=65bN?FBo`ENMq?z;%aUGv(O2paus0aFX|K>0xIPR}DEl))MM{4w2%<4bb2$uL zifa{Nz$SVH@=#644D*~uMS?YZ3eg)u5KEJVBD?IQw$Pt5E`<#YidULW6Nj`Kr0g4j zQOF51$wx}&ByVXBlBAhYnVpMLd{S>MUy4}-v{9~zl7RvSG08Daz_Z}^EtXKhnM8n~ z(P*0PpR9sX&EKJ{r$*8?Rl$5AeoM|7Q(P|&V4G?c7OEgi4Zsr95?ug>*HN#r6lZ}N zbr+2KYy|g#)a#YN<3-j<8d6o;4v*6`3CTJ*|xWu7iLCSd$Ta z%aXpL)YoPmvex-Oo@1PyN$x1iNqMG|3Sfo*=hG+8s`20V_jWh-ANTU8^Zy+`aO&!mQ2;+tl~D)yshW)%z>a#3FGxeQmTu)sV0Z_r93S8(Yjvs^-j#~S0=xr7 zkB{MUozJ?1a@X9(Q#pZnZ1yZGQ3{0J1ZVO%?zsC^J&|2UWHnV(*K?-M20DfE(JPG4 zP|h+M3ADvh^oj@}sd~Kmn@{=xfQ4WY1&J3I`HXS;4;>wirRd<` zML*QdWa#@sphK4CoF$1I{xr@Ql~TE!pGJ#ju~Sm{frbemGaAX+`09MyWWY6Ut!a#= z9H+T62Vly8(YKgM0?9I>7>kJ0G2tQv@Gpp(;78~6?m)+>CgBoSt= zDB(GAj%p)0m!}iSRM`X_%^N5Zv*?;|ld{_Ou8e9eF@=iGK=crr(}*+e;pUvnW+chr z959Xs5t!eHVy0cU<)KsJBMd?nR1VHg91J9xw$UX_6G^xe#l8(+5=azLM1+_W z3A&-!G{=d^m}V{sn@%Mc!_l?m{LbMBEczuJ*@qrDgmad0IUXiUU&PWIIUss4UO9&q z=Z2D7`B#YHF!;aa-zV{RyTix(yU(6H-S3-I zrX9nWauVf`ty$Z`2^7o0psCS5sL4rFTXZX*aaK%ch~`>f3;SOglgym1U!NYF8QD8P zksJxYtKZm~QHj-q3Dl+BRZ#^Vz>HE8N-c^**Na@9zPWs@Ll0;(GRYWD!76=RB-dBj z{7Syjcj@d_E&>!sm_)`8LVmW+lf!VRUt^K+!vqTTDdykiQgF6xaz_Yzpa z_7d{Wx#AXncY%c~oX?KWU%Wnlb#QukeD&)1;Ns2s@vGz0%Zt+TherNjmp>35vm#eW zESYV2`d0gQj8GYM0wKiinCO+ zBxJ?7@zq#UI8v^(7V>9|qfm*JaejPpc6E4g_@fgnqn%5Xwf_6f@x`T1HsrYG zE~i(WR6);mFnAbo5?5E1d?!x_I1zsM1xXP3(OFq{=>83mOQ&!3`D4B z4uraJBGE*>GBSoBIT{q?EgCSC(Tq$mRgoj;1pNgK;^z5}{`Mz%q%*!UQA;s%vSC~) zS!s$MZY2T)v~T)+a5@z6C|zLhg*C^Q)Jyf4F*c{_-k#c>3m5@Llk5YsyI`yZR_d z*!0c$%U~4z<^Lc2?VCqVtE$W`3S_$po62`lkxODc3Lc@sWWOIYH1^`;Pf z?yr)l$Z%ftzaGAW0w2(S8Xjfd{K+7$1%iL=UAWU3Xvrz43c(!;rzXy_LFH3Zy~Y}y z0+#I61D5PPSsJjUA;ihv(8MhHT0JS&y8ox?loPRD@P`iZANFeb-}iQ(ZQ?)Q%j2F+ z&G70_VlyW4^`gv-u^>2(xh%D1FIMVmJCLYb|3@=fhCB-bD3hG zLVUTcb|${@8n-!Z6)1hFh3f#z3IF4)vMPl=5XDx zw5IDOT*jj{NqH5cBFtz0q2tl1rY5KMY|5{Lf1tF3_hK10#ks}o3ydc5K zItB?_0al{xthuBIDI`);_GH7MPZUw%SgwTpSF! z8bGuISQV;)-Oy%>f;CqdkP2gOF!)^6salr2qeVqPTXqPDf?N~{eljIe===SKUH zOQN*%a9Pe_unkQ6Qv?nPeWeUuqG@Da;j4Mta^3EsJ?c9=qMnx{r#nn%KwtjTKXTwqt;dbtknNJepbo9dXg=RTg+_zxzaCwj$VYgs7jULAXWn#fIrh)sluP7xxs!M@HhtT;>0^GVIY!dj3U z!g12Y4HYf*L{(o^LujO11P|Ic)-rLce`KP=adj}tsYlo9W(CkwZs>B#hbm9`k0hCc zL?^$_NHPz_Y^Rj}YHp$Oiwn+f>vfc9Dss7WmWzM}RK5Njr+7-@!Pv1YXpjuGXT2u1 zk+v$$q%0?>TNP&UDSIW~eCh(Yvl(m7gl-?; z^}{RK)gl$d?*$5+ZUk%2R3Ffq)71%z5acWiYyu>8gKh0^(GI%Mwzn5V{tCme>c#&V zIc5vPG=)Slnb5Z*r2a1pLHNGb32W|OU+K8}gEOni zrG;Fij>eHV zJ&luR3CGii%XQh_r;OiS@V&N?GyPeguXW~Fm2@l+`m}vruC*-N!7f>(l^yQB*i;RN zHKmM=MhTwv^gnFP%Y`iSG>5CYukXbxIiPC!4xsE0I3XN| z35#%Ym=us@!ZXJP^J-le1-jN?3e^I2nQ850ml;hjZQgWnX+EeXYMF!`mQ>)*(vePQ z*7NBz6G@l>322%Vp5mn1^m^oSp?m_()I^ok;w0yk+^7Kbsz8TXrmQqSnSSok^$%ju z$j+9WU&Fp}0_ZqP`|WhEpz;UV@)E=4_LgZ%^Lo20N~?3838{XBb3BH2`ky|=;B5D4 zBMq?&olF*nRGAmcz5UW-t@EEG+(%phtUCYgKdzqtcK07Y*_{9G<7qknJwpfcm_=fH zW8Jwq41WA!@c3;8v4$N93hN{u&9+Nk`jK09SEd-oXb7(Gv16rVFl%MDY4!BCG9N~1 zpyXO5`95l(Tz33-TxGMnJAt1}kw)n0W9>3?p^b?KfRr+@G)=;9*Z|mA14UsG$#zu)@mw}9i6GFJL|F1x!REvbd=5xYC`n=!I2;zU;$gssw{*&*qtgo$ zGg@*7h(rEH;Hh<_Un2RfEKxC#3+9Up^QThM_t#_&cftk?ek-Tu9oi~ZX7JkV!NA!0 zMx{bZ&M8C+Q5HcPpijmFtylsL4KxHvwMH0*E#+x&h zJ00V|^iArih$RUG*F%D#l%Z7bi7`VoH;LfhS&#j#a;cS~WuR!F9K}L&h!4NVf}CML zbq`?no$iqORhPq?bqICdQumW{6=wjvYRB2CEjTwaJV-o@(tE-O?mMGkgO!#W@?; zVu57x1|!2l{B&ivFz7fHlE4sV9$*CRJeyZ@`VG*8a*?AgPNwATMV3&tBl(F42fKaL z#T8yVHkeiAvFgBcgI(zWyJ9>2H#81g`}_x1$?F{gSDgQLpFXX{f7*ZYcys={k7slK z+noRIC;zi$9w0hoS+amXOio8B?-}{0EUNZgxj%}U9^O`@HhGDbQ$SFepp-;J2zgA| zBGVAMD~hq=Zhgol0tXf;`>`ZpxAK>YuY0X8G(YPs9~Y<16)v3L7$!7wswpOAn2r6I(`g z%ys{fD3xKFJldd2y;Ie@(Ra`fH{fFp)z%1Arh$guS$H~ZF?UeM;bbh#|K_5XhI;m?N zQ$pg)mlr{4+XJ?{-b4ZmU#mN+^weHUU)6e{qfjn`w$%-6zgAGro2$m+_DjEkq^`*_ z)N^yI7#d+SG;TBSwgdSZmPB9aAv#dCL$8+gVgSWfPNS-3$@OxL3QqIIq4LI4SsGcp zU0ufF3%`ouITbi6dRKZN-Ut(b)GjiwAehfwQ9V>p$MDvF7**S<%w%`!m&^8EScQ~@P#(x(S=y*j zIbq1Y8}(CJMm7P4apQ$i{cZOUD%5Py#I;wza}v#PO2yn%3;laz?QY|McQ25QYO#tZ zpoWt~eGneRvXnIebRg;z0Z!v~;BuD9LDgTzSkQJEx2#u6BaS;!12&GYl$$AgNeu&+ zB!V<#^Jo~OcJf+SX}pnPx;<;||2p`^o!Wmre)_By|KZ8wjs4fXJaUmVN&wF{62L|R z_(%z$H05oy0;_D)hN~#JJ34q2nk4v!@%CL?eg7;wwg%m_TkB6|k5;VGgP}@v+@Cc# zyDKYi8M7-}`+%w^0@P~UlPj&S*pDlvJMP6A>1Lv}@4?LzV(I8RCVDXuunzSH?IT-} zj`a2!5At3WA=suRBY6#|21_eM2rZvr0o- zSm&_Xf&sNv>(lqWGK}h%0mOFqK9d>fTJoP(8s2UGpC@~J`}G^?cO9Q2ZAz&7a7`ADBs$Q}MCj*A*q>{%KpAH@G*){GP;N`RFyWFsHsXa! zINx_5XF5FfFEgAQXKRhS+);p8r&G!KA)arD&X^EX?piEUvjy{tKt3=dMnr`Vkky*>dXO2;hgC~gppG%m3$ftL8mEcHzY8eRIjvVdmon%_{_ANoo+A+GuxaZ z@|T;FTj%o5v&1PMs`n3T?WuX-MHny?3f%gcjY;lgOui{IWP8oON52&;cXMZ#S>#QN zPBUJ}wMtGph-h(Uttvc!d(VJL`~NpL?X)nF6UoL9_}OZO{$LiT{7VkMoKR*i1vXlT zB}i)QpS%RP8%DTcgd0Y_oWu=tBlbmpfR6?A9QlV_Y05VN%%Oz=4 z?vB&A!-a7&Wt`?Ski287k=0Gz;zfwQXZfr=cosC)tVe*t!7w|AMdb{QiOz(P+~|vi zyW{Z%jYk>gzz_n+0L}cft_w_aT%bbE>X#mE1T3|y zl1h365JpiUayHk&ri^-Fxm{${+PNc(kt3s}B`+m?LF0adxRg4^*j{-ZeW+H!gbb3E zQsUQf?5G0>Eh9w)zG#n%+7frjumQ(L+kxF*nkB?>3iR)4wklzA%APz_v~eBlmPOz3 z2WBn)uLq|-F!cdx7>s}7K~OtIkQ0#)s!D@O45TuE*F{DmiW3OZr5xFS(Is$!B_)j% z*7D;J9lbscF45`1t7G)%*Kf|z+4<|EH;0!euTRnW@yp|bi(}+A?Z)7OF|pCJU;uyT}C4ld_s_ zZd~Mu6DhZow^RTnS!&pljkYEEZ4aR>e2o!#tAu@}^6H1^BvnOWWNdegs9nZuHY^lW zx|0dv3TQsVs$a6*m_!NYvQTPjmY0-To+en(WKjkMjtVY8newuAt!gXjX5l(}Fd{c9 z5DLvfv;?uzFn6G*#0rFNxM%I5O5e4N9D=4@i;PxRU*2{mw|F6x8Q+2xHUJzaK!OO| zAr10sh+=)Q>+zTp;&`EBlYO0gsC>*Rh0SR$`$M%PV(DzAZIg;wiQ~6e*ezENpQY2X zcI2vUXlCWknm0QabQ0k^Z5amCS?vajI!)g(iA--anLHf&F2e5FW+M5@ zmOKMV3L6-G^~xgYjA`Fg*Bz;FmnFog@Zc(0D$#Vywks_-*xLXD?dqOROJ^MksAY`C z1x?~Z9L=g%3C_7#!1so^dF6d?TzDZ2PYYmWsSuO5m6iZNwQwl+n$z_pMYng&Wby;)GIL-H6o~U9XO9|U7;XjIp#2^clYhqZ zqz|pM;8PnwXU6GfY6Ng~Qk{Y6ct&+#?i6T)zZ%t5lcqM)mQ6jm(rQy(zO<~T-Wz9S z6Q$H_gJxtOeW}|x9f{F(E}4f8MrFvN@|~6d^bSw7&JP7jfvH~^ZKg>9Z;+~Wtznqz z1EM&TgTr!)KeXFRcgaTWznuj@g_#zv`sQb|n9$ZMgJs|#+*ZZip_G`UfHX-WwaVT$ zhyrgP41CyCQKmVdJWFp#O63`>JVWUV9be_AkDNlE*KML2_b7FPImP2d9_Mt(bXyFA z#u?5^dbH%x?IhO+wn!drWHFOfV2;yi0(Me`z*E(d9uj2sYLZ8r1K>IbK;6tWS&Yz6 zWMQ!PIt1;uT>;rF0CuDuL#Dz+|0n5IJGQi(n-~xGkZKFtZ|8c`rD=MKR7X+JY-u zDH@1=d0+a1CIp>8alOWLeiJNI7)3TwbLNq%mSF0)6_q*}a&t*q0 zWv@%cq3XZxz=9Qu8vsSI#Y0kGE!zxJF3L_w?g)jbQ0rLpb18Bt9|=u(nv=O!nF4IZ zL*-k~cwR5+irM^$;g4KhEZciz&0{J&tGx2Bz`1akufjuE0}3nzizrCHj`8O;S%eiJ zZop?b4#1u?TbH9_r$*=@q%8$1z>YK)x6Sqg?j@?LFs(!+_gtM{|O~wiye+$imR|6nssWF%~pB zDDqhs!i-rygGplVkLwir9#)@|hzFYqBQS>@Cbdl+Sm_kDP<5m;a+$Gwu=j6{5s+`05xU}8xhSnoggI%s7jo|Hei}RbsXP+U z`Mk)r=&v5IYXgC8p`~b*Mol&B+B4fKFZEXD>Vh0m;dUmsV|5n>Gf(d+@&v#XK1j?k zcR!*r$!`fs{j@rw?15|`<7A){=x7P0htQZz7$+*_j%$ep`)FoN#8k#w8!OsgAnMl3 zq9UcFs`@ELzN|%4X(KlT)BlJ*4AIt8`2D zs#C5Tb-lJ-a%aaP&EZt#W5~ckaDUT@N=H3|4WLR(~l=!_>{+=3huSzxtLxxmG)hHYGtmeCQd6r?RM? zNm0RH=<}Yd?`^$=P$V;IK8Pe8{*bV-2dN((=+KP9(dC=#fDUTehAWirh*T-@R*OD- zSf*|2=*BlQcf6=7PCmU(V=d1s2d$B+UQU>I#lQ0f1^j;=uz)ckGjmj{nJd_TlKH) z2H#o>>u6n9C?%Vfkll2TW;Hrm+$KACr`ShnVAs~cZgip>o#?-XPE@g;jb8M=^`h-` z-{?lyoTeN7XqOqao{scO$4LEGe%6ftt5R;S831^B{NKmV_J+f1{NLRtoBR*=@@(=y zZ6g1Ew*0S6i65|1!95bla1-O?V>U(;pw18WgtnYe>GFlmT0kfATnm>{2Wf1s7i3$d zeUEBM;b3)mI7uoQ1l)oR$shD*v_(m%Q0ps|o!jZ-w;9%GnDRCd5;j+P?MHB^kyc|g zq!lMQH_mM%QR;zQ6pTiayhk}N(g;0$41d!3MKPJswE9m2y);n zrC*4CAt+(&8ewoN6QqobV!{j3w1*JmvAPw@IAxsX3$z6R@X>@7X}qnX;iG8A1WEhN z1IA)<#&~`Pm>4QJLs;s~O;L4e*m$|q_L!L|r&q!voFt1sHRTWSv9Z-hCId(tGSI+U z7#Yp4pN|A>sr)Bvfyy|!p{!7M$T}ukzeD$q-{$|c-9vP;7LY=aQ{5%mZE&p1ttJ`f za`(q@2bKRtuA4t~nA@iINRzqH0e~QXOztL-S+{d3L!g^PS`Jjo%QDXTq(~!`psyZh z9c|edo${zbS&I3hug`-6l^DC(FB2RyeX@>F%!s*L;zmdyC?IchxXcM)V!^jec316V z?VU{-pDVTe|8C{HK9x(phtNYn-r>EfJ5GTr{$<$-mWStR2g|A|rb-onY_U2E8bPS; zH5G4Wi0FN}9XOR**L8F8%7nC?0Y$;*M{HfJ^u|@&#Ei|2oLVNXz1)O!#CA2E8YVtm zmrE{^Gr2+WyIKW8ZMq{H=hoqxaFrRyB-UFg^hC?MN;(2D8KXyoN5(v-nzjHgH2jN0 z9LKioT#T=1I+067SD1@2a^6TOSMAg}GojXGkrJkbr2_h+k&f)|HT4EOzV)5T(kn0+ zhF5xI0<@*#v;>MykQG4>RiLBzg-AH7yqNN{B%juh9HI)cj4gFsE%&6TJ5`2*NmnUqe0TGZ^wC1CO44W%tfKzuq^CdZd*?HuyR>q z*htrG2oGDbA;ip0rL^a>2A37rkp&{m#W-Xs&fv(X$9Eu$jnJdN=-SAr-yt5$b`2CL zVQCM0H9$xlee8RzOviyc!9r9F6T%v^003tTv=Me(O~Rkac05a9+h+1&+xIt z>%TGq(1#DQ*u?T5_zeMy;0WlwcA$4l^r9N*=^QVOm&fXxUC!pGVLUoSt6s*bUB@eT zbvX{A%a)$cI3ahnkE>Qk2&V{hjzKR4@5-HCp>qlL4-(N_8^SqCgK`g#(v8W+r_2&>J8{61fKDUuNO;5K=)1&-~ABs9MW&)u&|t z%HbD1d0te%oa&V_4p+AEs>)iIhi7%Z-O#Z7r_!`txn<~G9ecN-MqAHHoGiH3q7BGF zOheF(m)x$^mE%j)@3BVz-e%O+Brn+-Ztht{d} zf>8=MbHmvx9td(nI8MG&0pZT{247rlkQL*EirFd4&k2qf2XV}a5JZgRq`W0ae}|hx zWnYO^5es2PaGVgKYPeT0R3P*1qa-U+r&+V155y%=a30NGYKd2+cxGJe%&~dfQcn=L zYCD)fMEJ!B9VV2d`N^65s!k#TiJV5)3#7}6G6sAEh0)2`w&4OJbjniFDi-R6V6`ZN ziqO}h@m#H@SXW8$@hS?a**0$)B-7TSorL1DMYV*j=xRThN!HM`{WSPQIl8+-=AknY zn~J-i9Rhfh&>IFhoq|TaZnc&LcU_FhC2X3;F2Df@kPdN%$26fiB_jN(7!zI4$<~>3 zI@gNb;KrG#G<$*PG+CV0(?Fd;{(++cCl@)6uA8y0)E~5`KUF-}=0EMGU-T80X5F-# z-=d&8$?M7i(fxq@;X_Yk1pGgZ1*x5cu>VFz`q7M{VvhxLyZOlOpD0a7%cT-j5e6sLOSgB&N16g3NCSKVdtz#MEBl#3%+?;?3;!vTa7};U&JkU|Hw#E zjS|!^Qtr?jJ@_MYxGSud6$nQWhGqPx+b#c0R<1?bb%_*d+3$P>ucIXr!04Bzt?WBL`a&iS5q`0dijmw1|L2*e=aG(*<;)0QLto!R<~?#b9eM6^&K3zkd;kZ_oseU^^=k%${Fnk7|bP~;Qg0gnA7Q$5d3}gK{htVVVnj_|`TrBzi&FHX7*JS1G zs`!K2wmDPd6Het->R-+AHTluNH8l4sueaG59GegFpSI^&*sG7~OLrjy=gdE#f7Y|J z)A^^y$B$YW-?V_qxpZp?_N*DVT}0B1a3SQul)GlJu&;I+@>>c<2krIn>HM;^`TXrWV<%faAdyw zMjB4nXcY!`+epht+j>(Y^*XH-`FL{Gd;hVGcs+4(Z>n=AJ#lfr%SLQZ+HKCwL2}7H zfdYqF1eGIG6Z4X=KN}J14lWr|P`gQQXTmF*Z#rt}kQ}vxHcKx`76*p6 zO`U5vxfy_!>l7d73j1sXen&UYJyeF7l7miSB%&EHp``}ko+y+BC`<|GweF0XM2&he zfaDq)ncWdl?;3Bp>bg0d-4pP4k0qH8$X)chB zpr>TITb$-_%gwlRza8FbqBSSenYJ~scj&S*$0Ii)y+nU3eI+_#>SHJdCYsjVA60E2E_14ZBOV3tzvIRS<#qWjTHZ^-G*W%9Y z&bv))hp*=OnD`HB$Lt;hVtM?B;r`>{UN!#1v!_os@gMHvX^#J}H*AXku#H~Be!GbS zv55oGEe=GRVhPt3MD6#!l~aIsR%#dNopS1SsqscDk1FkFS635aWLO;!aiEf`|V*_*0KWGlqh1 zzWD};h|?@b6Pi*nGgy(gG)EDO33>>%0_0Yah^Ppzj(SfR%>LAi3Z9^Wn4rP`ptIK( zmuAiF?mY{K;V|4C?LHaq4R?MkXm0+q4fst-M8Kd`Vx>{F$U#y0BhXl`K7{>(X%5r< z?`(`BmP)Qccsu=XG0k5v{$Ff-XbP`qejou{O&GuWI~!lw25S~@dNQ_@ZhP zgZ5OgRc|nRgbdJ@xkXi`-p$D9^h8@AH?Ju4;lsdqQOdb9kc9^m#s`0A(XYzM5R!)$>DKIx+wiLOoXOQ!`*LNv(H{*yn|kG47qw3$$+2d|DV z&JGTb%P_?z9kF~M`m#+&ET4*zrFjhn&u~5)S&#hEm$27x4&Iy~KJob|`Jbh71$I6H zESLXxpACom75V@1lf6y+w|jY7dDBaH1EoYKnIUaa<0ApU%zBF@^J8TEp11mQoC#YhGAZ7F2(13*EW z52&QrsgXZmwS#Bf8E12n&qyI8&3KWfW&SFM7EX+Wxf()Qtb}}$=7iti1Yr{(P16U7 z87q<)@}Wc=XJvwz2M-V@laabF8tv>%X+A5)VZ`P;5#xl3oy_7kV3`t-cg6`D@2HGN zJ4Y-6Wj?Ir9h}i{9zP&yoH3f_^*&II;|M(+3J3Q~Iw!2i5uW6PYwTf;0KSlEBaKP? zrO;MrXe38coOW=(@K>pExzH|st5F_PIq9g8lX6aoLDPI{=*|P@UBuD}ofaH7Eh6gz z;z-MxN^H@5YV-4I09HB=h7%?7!-qlnrgW_0BunJn4*nRR;41JSagq=$NORNrW3}}e z;WUR+8z6A5N`=OAK^83ud`^Jmhd>SG6Pabn0#fDxn-nI=42-9{$Ue(_;tC%%I%QKo zn@nbqJVqtA!xgXLa`-e5noh+C{pD{?cVEHk?%rvdPKoel$k*HJx!X6}ce5PP00~y` zh-jbK@&kf9!QkpjPUWks!2P235~wn2DT2ZIdSs@t`-zh&c{@Unw!&}vkNgi%R?=aF z9_c`2S1N|-)x+IKy%phH4c}Nhs;jPe`q_iKE)#avj`i9WCeH`{?-niZE+gD23Vwz_ zcWr6)J7>v#iI!^|~AqeGuB`6dvDN~0ty}w@$^Y>&A-^j6x^Bqp@mdiv%oz{l4@l=0>Z6s`hD-PSGsG^daN15@ zw)zlJ?!n#moAuUSrR+IHW(xOW8vHjScC*DRHC-SDl}|7b)! zwOYx=JzZNjlo}y@G+vI*#Z>mxFn7Hnxb1b_5ps@oUbmok%PvEh?Xo>MFy4(U2|0}y>@_Ghp{qG z1OgOeXk3R#unuY{XEpz{z?zcESuS#tMkJiGBF!%p$e`y~heS9rw`5IPV#S6J6D&kv zd@MVZbc3*mDA0X@`-K6%C4$Y#z`R_VNIfDbl0#y=E|uq|X>C#@30&+C;HpjM zs&fKuZ1<3fwV@ZTHAI?|XogcN=B8T3oT-6O?i^{1py3smH2GdGe_8@=wBgV#3dg!g zcyzCX?^qX>iuTc^FSbfMSuIBEV$l$N7%rnoF6Wt{ogB8T$SY&6R;i@Kugf!b+MxAP z+N62d`vN<(H2`onXn5EE3@}kpU2;JahkMDU%QN74&3|IBR>eMQvE{3Xc916O48^gd zfe6o)&&|8iz*pkQeh-3mD;p2{wOh0)HXSIs(v{jsM&F?zs1&hbFnh8MZ)4VcLoyMy3XjR9nb#helwA9=L zt*mKc*?PGq8>ht*i-pGcaTuNb9HNs67-~7>q5@@{-O!lC+lp`B5)`xaQ4aOmt?A^~ zv!4Ukqz8iiFz)4uUnyU%D%kHTHJjOWX?%s3(a44ylyq4xwB2P=x{nguHnP}87F+Us zQCY03C?+|@G676}|0EHqSwcd~1hmFBjWVW_3?^x|R0wk!oj zfK-+>ZB`7B`kzB`)4U%;4BYB2ZmeFmAm~{A^IIx%nobWBOy~Wjp7dtBQ5&QzsSMlB z49xszmK1YRO&sHl;u>ttU{S{5=k<U>aC#p^kSLsd;?_UOT z8vT6Alf&+E&)te5_bNu+q1@9f4nTpbOwc^0m)gv1)EXZPvT;l}^xKAu+ppG~TVjqlF-zB}hceijI8pN4#fa}#J^ z*z;A`gAiGxrlVl1>#dbta6Suj7UnaeUb&98OGT;@bj7SOUu5J&pg%2!f`!k*={pQ+jNej+N&MCPmBgV=@l=eOXM!gNI%D$e<)uG%P zERhH3Ax&w1&V1?7%bHw_LBdf%=WJ>1YXjac0Q5+Kkj?#CjZmDJYk3~A!jk;*K&JG z{JkEl7-aN@phK7r0v+UP|B~DNemGQ7j8%4Hob|Vv;3nq4a)iqTExZ9K%xiY8wf1LpV;H z_ItK{NP?7cmN7|gnj-Y;bulJ9CFR10e)x*a*nD*QL)h~1{p<~EuUPS7psu{2qzRM$8K=dK${Zo^*h z8%ZS<)f^`d&3g#_aar2wwx_MqA0dP93!|0o{=7#oB=PF+KM#h#dS(E>cEj*jH{imr z_k^LBIA}SdfT5of@Yj35(9c-g8AX?fp8><(H|6z#&h;y2(_ausNx=ipMTf05#3gy`Gqj7Uau`d^9x~U=+um)ecyGVGNJoW(kexvYqb>VWyG_I|grSW*+HEb{;trah zeUwcO+?6Ow49nsril2L>B>0B$&ik@8L%2^G>L$C5@)y9+wfpEGV}Aivk#!R4M#C?F zp;rBL*;ZOKMfZeZ2hrvWV|d1R-f14*KZc-gqmkBIcF>A^DH?X&m%jjpGlJuU2+@Iv zUkJlF<`lm&dS45%zUpSO~%oIf+S{Q=Isu{36=e-8&f?)$g{7Nuf z!Vtes3|&%wB^YL$&M{w@d$F&Oh7}CI0va02#E!ZzQ~YyZY4;3?M9;qN^)A79#J6Kn zc6%|RY0p}*kXAF6ou<1}uo`K(EFPhAB3PoM!mE!q36^#>gCN;CLowm>hV&3(U>9pj ziO>OGx#=Cbj({n-EkTd5PVaf`1Bt*C>K zbM)rqC~N}g-qT93Dq$`sIPn25Bm|_>9&f9LB$2^32zd$3%f`nGNH5pWuz&qJLWeB9 zAt?oqBx^^ZGWte1b;h@c5W#{b3nVnI%Dk3MJg3SVxgn1eq5>&8g)q1x(iCAxC(L0w$sElSf^7xq1FYQ8J3tz0pe+clOkxuEKaOdccKRsneLUaNP(Ffj>6%}db43ZBXWjXh zMp`*jTKDh?PK5H>);cufY&n2E6~{3jp#Yi+tS^a5PFa1clJoBGKZZAvmt*ZIGk;sA#=k{Vl;a%d|_oOakKT&C3O%VGTfaqSK9S0cN z=^?-GVHhqMw6-DZp=E>C>-|VLc_bv0HT`81V4zKtKK1%rlFjgzp5iSmMd_F><0NhX z6`W;a=VrHukP5j{Hqb+8T}S$`>d%gl@o0ClZ8ie4W8y>EFY~X$=dY=xx&{b+z=&0Izh`UY^s0y(Ah(Xhy! zb0-$+U|0sfK{ORP!7Dvlx>Cm9P&9XfQOFB0JJZ$mO9!SrBNN8=|DF{2xTmTTLf+H|fuzR{|)u3-swGMCP zE_1M8mNQso>D9nxRb7|Wq6;|nq>pkVQ~;Zk@^!KRE+{!fyIJj)QfC!Hp0aXz66>8? zvX@l6BQjR3zBRUXU`iiv>u82S^On&mKDDD$p6R){+`W9tooNv|l+D6+VBR=6l5`+?W2DO_qy z&VJrTXFngR^U}&wsAsD;>X`fUo2pmn=;IS8^-yyPr3PEQ(ds+{y)zc~?o3Y3Si=R6 z-P@F1S(CmF9GfL}>)L{IYZYeyG1j0tL}fIM55`z3BUTITUCTJf*K>qENDiRK<&Lk!N=vgfIe@AuRviS7S-C>Sh4L2TJ^lB20G0 z!gqcJ%IQ74YpvbNseNnJV-vY;dJitxOSNX5g{|s;U-1nF98_7VDtQk%WS@O@7G@^H zFid!-yECa9&Hb#MwM7ETxIj$4)}+9S$OB?%PjIt6t>F{xdoo6$NT3K!I_Uz9dm{7< zcA%W39K@LM-mZ`y^K>?WPmkTTYQoK{4n?^Y#4;6b*jZp3Sb6o6;1^Uv;|^(&92 z-TMJCto(!a@N!7tf82+$4}^Ex(apfqfYAve9k-gg7xlm}>akRR^VlHZb`aeRI7fcw zayTR}6a9&L)n7cfm5De<3|~${My|yq$Lw=JtE7It+jKPD%kS(SWyWY6?F{wD4xJ6K z7MxXZvLN00$l>`AUIsNfLO-HwcMB291*mp>NIx~z2_o+p$y zebLja98tO7qsdO>xoqiGnKV-`oyaFKGDfnXYPO4#N-TgOZ&BDF{OyK;r|C7z>7rrf zsZ*kjt}F^zTvef^P>#Fwyf1dlFu1IyPh+c*Q-BheKA3Qk@F*b(Er5m?Y;?8r*Uz7> zo`Q}FssG4mEJK~uTsjfq)Y4Iw?55(>f>*}^TgGxKjvAygUa+0|g8><7YpkcDu0Ivn zfNI9IT!o(z*nsmg1Mp4IUMDc zVQyr3R8em|NM&qo0PMZ%dgHc|C_2CO6t&pBrmbv?mVE7KO=gc{yA$tpyFIphW!9d| z_J&AELQD}10otu``@GM2jq_yZ!2iui~ix+pMJmJ-{0Mp|MvU+^1uDPgYAD9?C$JrAM76VclZCHKiC$pp#S`+RG*A- zl>S5i$!(Q8_jmH3g!~n!jL>)px7!_*B=+aP@B95uh!Y%#I1UJA!%h!kG{Zv(=xj#g z4nT?%$_S_Fd~?c~ zWpK+U{>mU1N`cnULNdXO4}ne5_TK(*e-P{+p#6i<_ULW@V86c~jL|-RI~skvgYdW? z?hU^EHaIwVyN|XHLbNjq2XBJ`>VG>Jyxk2B!k{xz-NWG!yzTz>c5l${4YscayTiTy zaBts#+uz>rAAI|E`+s{+mLg{U-=ZkP?CU51OXmMhf2TbEclz7?_56Q{=M`LX#7O|h zc#N`$vrdYWhy>_J%!nZjI%I|>cvxN`WBfT|J3j5DDDJVqr|D!k==b~ETjrHmJ=H9W zX`J$u1icg`Nsp%4c$(sX-r{uL0pMsNmc)TCrdEA)N6f+$2PG=I) z5sCyJ2#rr-G>XLh7^8^cPDjsU@s-aLJcKhE;&YmcDV@-iGx0_JIfS=6efcZaWrncR zf4j5S>6GM^>N5&Sj2Szc;^0O>5uIWQ3h)p-8l%KhI9=Dh7wLWBhmKw}E*@)6+aQZI^rb&b~9_6yt!zwg=iG@h6by@PG_1%+Wi<1v{Gfu|W3Wm6oobHt}Z*rEyF5>iTHpd_*;Its&U zQ}LhW@C0FP`M=1Ivzw5l&`adn#MC?dS?~qC-mujKU{}a4{FYpkZQUf5_N%Fv7(q%Fz5PWZyMTkeJu|!=MrK(gGzBREdcer+A{zqjrCDz<*xnwAX6pA%jaL1;MB@MeSzTB#wlbqY>6J zvL|KUA7pp3e!li&^goVgNrX6NTT1ZFQ1Wcb;IjSS&i-CW|J&PL+kal>DcFAoof{H| zLpYKw@Bt;A8RjTN91X29XLT6ECLMqXjc~+r?Z_1^LWhbl5&}*L^$YdGKSMJi%(di3 zLLQ3G$_!yXs+RBXfhQ4c`0q%Bng2sXN8qKH(JT!tzixfw-~Y;Jy!m++ZSv3j!Rz{m zOmzf&_yR=iaye`ZxW6~i|3J@z`H$n<=Me$R=KucoL23T)Z})fC^ZzBDr_X;J-!2jX zJ@x(RVgTU7MeoOtmsdkqI}~X6N=t(;@LNW?hWFv3cmDCBwy;pYoWcMdfXm~vCg?_= zE5er*>RT`>Ua`VoPcFXyczIGSt`$JH^vg-8>ik!`zM0taJd*;j%>J{tSGND`9rX9t z^Zz9tcmAU!VOv%R99uqUmI7aK?Pm!NwACph0b+%D;CC>C+!d~PjG=3zV}apf(JZ->rL)A5UwfBkfF zd3AYxba?&!r?cbtCr%*%X-_ZqT?+fc{=U>39N)f6>18{8r)2@~UjH zp;0!&AH;NFE{OKb)sn%Sh7^0nn!g79GOQAlxwI|zrl)`wdd{+uG7U;g4yQe7yAhjK z{+Sm_&IB&njF_=>i=}}ws4T9?aFC^h&&6!PpLvn)0ZPz_M1&K}$|HipusTRRxcuAY z_2Kb{)3b(e=T{epM<*-K`J##E%uLDhFpaY)5~THDBP0O_k7eUA`Rs{(6be1?LMq3r zyFd!4QTRG?Ny9F(%p#H0j#KQ+aYv+i8*3iI@r)Jc{0*MB;I*WgC4cXAzUiszf10J9 z@hEWV{;$7N*8c|kYx~cOJhl5jZAh-s`#jAr-V11c>LkxuKn-V#4+@HNw%60Ado|@{ zDf$0gfaHgNjTKChItBAN`}@0&znT9Pds>|odt@8S=KuCVdHx^l_t*3PMV|Z4{XM*9 zWX3MZe_|MZ2OANN^BG~!&!lQ_e-9~&Cm3FnO<7A+sFpP>@7>>vLcTn8dwB4M?wjMx zXum>17(>Ki1BKxLUX!ka(Zj>${k>WI^S@rwM)F_zG4o$aCv~>#C}z*&7QAf!@9mZS ze-E|?d+YiC5>LthcLjIAF^TYSYs>ey?B@kOyRI8eBcMvLCpZDSQ0vMrcI>^<>M&#s zhrlV&5l1AJeg%5-&Va-q5B2N49m`&VzxPPjKdt8f6WxNB&j0=0%KTs3e_!T#rU_qh z)qUc8U)lAy^>lCW+1(o)?CkIE?~dM%-lCoD@i-X2J$Q@vF?xFtghB9axE;O?g10;P zVEc)F-Y-m8A)*nqdA~No;{5s3=z5m zngW`nSZN6)hP#w-5>GaP(J{Y6Dek<2kT9N-QN|18GWZczC_rOCF?ffUaC+&%_lK9K zmz$ke@bl@_j~_o>!Ow>m7l&t8rze;2@dA!Mo*kcFoqjyKgpcpw@a%8!)9KmqCSby+ zI0gJUNd^8i1tJKELqWAmj0*^isX~Y)I1tJWL@1tQXo6uvZ*dxvcmfGdXM~C2WPswZ z^9mv|BOG!0rqU_D!7)Eo=Rrbe+Cpe7-E5_A?Qq*4_}l%GZ?`^&c?Ca^TZOP# z48-UnZP|qxO5kpaQ(V@*;EqHQ(0D{q8Ul(UsSg{B zicR#0@+qJcE9jd*<48Np!Z@Wf3CtiX&>CTb84kOCvD=rj+_2N>xL)~((H)wzPDeq} zZnYT+hhW^rd(v}T?(CHbZ-f{L4l_R0?$#WqF^V*JcyoUb@=ZF24e96=s;da#dDgaI zz0HpV?2`hBF8E#W5E`GdW5Oi!cni-Z-bg$mIw7%YzS7FO--s<|{F57so+HL8Weu^6 z+{lTP`(#(hWGXjPsew2-%zEl9=}KPxTz_BQpHB5+#2q61dTb1&Ju#Jg5fwWn=S47c zerR)Rr=#y9c%7ze59-o`{I_ty!Rr)nG|2l2`7MR^_Zb%4W~jf*&~%fbd?&&4I?f5C zSM=wW9saQ3GnpkcA_GhIOiAKZIb4 z;t3|agX7!3qV$TYA%U-f9Ko z=!5tzM0SFN!k%B=O|igIN_I{;ihz;-l=7WssVc=fuK+P1TxuvdWU{cCky9Ma)T+pQ zd6$SCeNaSyQ*#8W&kH;`J$r7Cr^zS(+s=yW=r`}>}dpd@bg4!K5XtaR|d zO8<^C>B-WQhfE8dU8HE0YKN@dF>WNHYTJen!*D^{NlIca^^P72L*0(2j;ac6ZG9t@ zYuSu-J(TMfmMeN`jgw@PbXVNOksxEI18^Ec?x4%Iex)O}#W>=4oJEEbLIbOFCVZTO zpmE3fw||qK$yIm2I_EO!9rRdVG1XF&&rvI=Wq=P4is9M}dWi--T@B!MNI49@vkSft zzIfvl5LGW|v6QG~T>wj4L*G^?0g=7|4iK+YGe_$+%SLkXK32_&ZQlQ4G=_~brC2sP z(gm#_nDqOS{qhVdUfzwaIrOb6FRu3Q3)TM}O}!#A4-Xy3OSB9^%~08NagtiUQMXc! zQP=Sztu(wP54H1G)!krjkWjo<=SjK%g5y^DB_HXU@@4&Tv8nJ!`@0S@QZ@=|_lya~ zao=17;4vup$zc2O?K`y>aBk~WBBv%S>&l44TPfx#!M7;74&yaO5ZmE=78Li~zgsVhNDnqJ|EJq!Lq%W>Tvp9lRWs22O&DSRRH5@Q@yYr7kAJgVS*PwQv%9aaim1u>4zXOLQwmB+Bl0pLz5ELndJnuC zJomtpmT<3Ia~Y_F*!kh*<;d-*FUTzgV#{fCXFe04T8QZ@B({EG-LD!ZsmOwulQnA&lcx?8>E0oY^CZf3 zJ6>%8COqFYs)HwG+1AHRAj8QNe5*LE*9|)W${b!SZqCk<2n9IQmZ2GqD+W#L=L{i9 zr9=1J??^;3j4|R_Dh)1J9~O<~z%b{6mcHo|~L^j6tsiaS=>ou8*TmILqfMH;pX zG(A)_g^jx@38rRCx~NqzTV7TGb1b!Ifh*VT$iN^ePs!!qNSt1sq= z#fUij+yxMd?NwxXp%wkLmlJn&^L@d>{BmNi*9 zStFG8B0HhLk>!JzeX{y#tbKqnb@fB9T+Qs>$ z7dI9c1LKph5kYVZwr_I}K1Y&2wY;vY-YjDL0jCrudSRRkC=Z?M~$mpwW zny;(rGV~+oJ^Qbv>MYiDR<-ZrS;FVX#KcR%A<1S#_<(0Lop*YTlD>4K-lD^ks8$JZ z++b?dCNPBlKtnu){z1QQrjJYcWm_(XGWBbn8sU1V6^BQwX-O|80Q0vEuFw{UYSq}+ zTs!AN0M^^o*o5mTz5)=cg`;0dUfS+)m|-k zR=6(tK&(W_Gs6v^?IwajfV4w;Tet3mcDJ*eu%!Z{BOPf z|4K)Xy#~Hy{omOu$N%5%AMEU`*Z-Gz8rJ{yy1ri5S6tUu5$LWkA0Zx0^RUzZi;gP7 zoMGPM5$mxW!dI5*CI;aM1vhj&elG|x1bIchA#ijp9;R%LM{R)91yP_MacRS~C;P53 zS&vD#KE|p&!@kUKcDu)^Uc>pibjj0qZ9*E{;Ix-Y7o>FFyTNl4;J6w@+B!|87;)?j zuoJ)4Im$MbYL-&_eWkPfn{0#w9@)Kqi3~L%r57FFHyzpQlWh06cjZ9JtBmMdw^>7C zO|qVfaK#*Yy5~8%RL4bm6>Qm~dC%Y}^ILJ^gz%kGrqFY6PV(cYa)o6bUJwy@`AxDS zeQJ!%2M79FiiK9e4GW#&TbvrD?vG_XYOVii4~fU9fJ^kh!Jr)fb9=D6vyT7sBF}2} zpS3c$Rt8%tgAFcL%Bjl#-QymwAN4Ge|BDP5t=m{;{~sLemhJxsJ8S#@i#)IXWE@00 zjZ4AW6tI^5t);&@AI8Cyg7?ig-9-xBgF9jF7)o8-!)KvI{8~`C^vZC0OjcL=%gh&P73ETEK38q!xa?Z z5!2p8UPplbr++&6_zu2+-ik^tn?r954fbxeHLuCbP_o9CjV@-3>%`7lzMHps_W~pc zJ_PUey&{ZswASOGUOVq(s+2dc?|(Y^+ryiV3T-C(m&TY2)Tp3+=j7wNwF2-5cv`Rj ziw?b(w6Sdew?Eh~t^d0R`|JJRi#*HM|MgM8di{T%_1|2FVX|#k8?Z{i>y2fh4U~-# z*p%K0c<_t#(lQI*_&4(K;I7#EvNn8yNs1GA^M8Uj@C81jbi$z5?{>%-{vCS%DHgH3 zQX%jmJUn>tA00lG(OOll(j6+f9 z<>&t+j~98?@_#M=znc8-^?GoGQw}^uF;fApO<+4Q z_HuAfwWp3FByDkd6J3R`CNZZfE;fn8f-$=ZNeaDW@%F59gXf&m2zqLPkzw|F={SI% z^1hLYK4V|6ymL@dsXtfy@Z7#f*1ryhG2-U`|7O(FW0r#GA2ZR)4&GY z?a&0rj75;#1Z=PkJrX|(pJcGD+N4?AEq5=bf&{9Xz=y-qc0hxOm>dHnoupBiY%{NLNzF57?h`a6U5{C|mO z(RokJR^W`@5VQ%0SO0j`c?BP6s5Zb-9n4E_1YJ>l8_sANlF#stW^pJ>`RiT8dKa-u z?x0t2VPp@%%tWGcGrz_7bH;Xj8lyyv$8aDtiVlGCMf@=vT{wl+OP|mV0FDsjB&hkU zzxaVDHlcJ9;h)|zx8TiN=1&3*XhQfj8(9y`3+??lgvnGDk^RuYzg5?in<47}j50PK z(a$KZghYTd|JHU_C4wF_T{@BqOqA-VFyjfe=pd z8>aFBNrx`3P>=&S5I;=L+hkc>gAf zR7#FmbGW)b4ZosPhsKgo$B4?xr{jjGkRZPAt0YNV8B3MF-V50)`n!Antq?r@?f#DcD@wbvd+JLE6o$HI^6#Pg)cZBO84P+XA~R7c#crl5 z5_3FBCA0Q^MYm`$7-|g$%(PYG^{@i7AE=XIp{-;;-SJ zzb9eMP)blZD#6lkMU#8}+YUfHA@S!@A^A=;H;~OGlyR}AHhhz2aWI{Sh@&n2ch3|V z1~}%Fz3mNl{O#VZzb~22hWB>qKlAgtURFXwSkD4$N$q!dLaINtW1 z!1eN_NdUxpiGqOPa)RTS%U=Z< zb(CW5!<@+|T}sN7GBVkhuSBC_TFJ1MTdD<=@u|R6t+hhVOBLJ^jhm3jFsZ<>nw-Zn zJ|x2mAu(4=JrRqC4Km25C{_{t6e+CqG|0cQ=+EZjU;QfYz-%rz`7(diD`@Oa5zn|yv`#&c&RQ}oLNp&~4 zc>lM(b1*3T{~a9cuJb>=$WwplGdO_5Sx5u6>E?l|-4QO^3LbUM&T+jJTyF)RaJSHe zo2k3d{V;z;(M$cVxUU7hn;F z#kLxa#|bB>UKGF7#T#&(YFhXvec$S7q0V zBKUZAc%E;^b7*-{GZ-)gLpQUHci@Q$r$SH5gpwn~2&;yzT$aeliG{T224fAwZ_IEy z!R7{@863~8@5xlTE7IQTbuGzXqX$;Up{|e_kWz!iQK_l8V0dy+&oWRojenI9t83>m zLs!xLTMl8hUS$BQMa=N2LDQ*Qb+Mw}p0`(lvS2K$Ek4VbR=|Fh5v{iJnDMMJMYbH# zx|+{7DQlp=+@!2&{HqLMT|18%#2<>*VlbEGYlp4gvRoayrh@H;ufFnvA#7^y38PpK z@#~CaLt71_xfpR3OW=C?d#as^2ZYW^@{EQRg|w)>sgk*#R<@dT;n@kFW1}g(RaGiA zT5UDTM+}uV1-9m_(f}7bCqH`Nx%S1U@KKPZ(eq+vt(s3kD+~};HhMOXgXcxC#t8Tn zRE?4Ld66ubexHJ#F%Lg4dIh8NQ_z!!>F32Qw{<@Wu@pZuTH3(=6r^kfndd~zHSs?M zIeiT9yvVs{3r|5#pFlh>a_+IlQ;?HKAJ2k?TWV_lVazz{|K*h(trc;vd*B=k?tVNLzk@V&!?FPruC(H;E_e?wQ>V zZCVQjio<+=4P@K_dGd}!(N&qGjq4Yi5^QZheSx;j>OV{PTsyF|+W?u^b}zqy*!l)O zW+`a@T(M1^;%H_)99Wjft9c>D$q`NFz$o0|JnWSZ+J&qtg zld%Ge7V`y($x0k*Yk`6&fVHY!s2K=QZEKd>75E00i<}PtuO*{9!|eTwrwhw3#lk4# z)8g^MP@XU3z}27jGU68)?eF3^D8b1oo5T9W`!oB+8^ZlBo`4ji8UDo^s<)fJ*pz4P zJ2^|;7Xm-~`$2CE7oewlue#-6Xp{fmyP1HOk9>`ttHJ`|^kO*^)EUf*K#rF4Lpy9f z)Q!J_U*Uk);qn>`~L_7iKjRvTn<#{mGpx$ia7J10i)l0?mow} zlKgkB{2(;eDdFmF{1l(X@_(?sw_Az-vcJ2I|L`JDW6FmmK1r=fI` z$xGh)m=d9JA-|FTPji~3H+cTfCJ9nk9#_8t6oy2dQiD!PWR@v|EvGO=aTsA0@lMu5 zVHjwHd79xbV-zu!>uCI27!Sc?VH~IwTPR7cV>Sd&Uc6@rjeUg1o-Ryu*?$rLv%xa# z*J~#-xV^Y9imEgCY!s2;S~n>mEBF=yLgQn3x&NJPNL}>D>AxhHCJ}BdeU2C#LWpN{ zu)VXpxBn;kSw@G85GOnf@esV7w|?JqzR-ALKJ^Z^%{O&^ew~qU2>*!C_&R?9vw2U5 z3>xE@_YfNQ6oNe&B2I+_P^DQ>x!8m=%58=+K(>_OijHYlCpGJVZ2y~L`#LF<3WYvG zj0A@nH%ZIzXO7bt>8xu*cyoUb@=ZF24Vh8Yn)^IA+p6MY-_larhE58=q^E}%u2XhQ zn9PRmmHjjddF$V3oP<=56)Fo_eD@o1>g0YJOR9-Muc>EpWf`F=hUHYKcQ$6k)~|HL zwirhokF&`5#R$Tea^{)*v}Hd7G4dvru$zbP-~LSqrD}@0LejQ8hx~XU4LdpynUh$} zvoVe3L@*igTIU_h@isLJ#zK>*t?VyU>2=S^ZeQws#YLrtWUcb}>jGLXHaVu`0^2tw z^U7pgDFSWM$o&3@uDx}CUx!Q4BTbD$UEaW&sYp8cIJrDOJUUVHMfBiZN@pgy-k9Jhyujl;*xzC43caJgMNRef6wqt#%LP!`yWV0rQ`c8!>m+er(Awp6kmz2?4-<@YGbhQ6E}m1q^mw_=eu>-(~J_HD?p5YF;m z=t-NC^YHxq`rW^tnna(14OD(ti@;c}?OvglXjn;;>nx2*mBkK<4Y#&(k>eY7yudOr zk$+|;^uxpOZU5W72Iw@swqmH%2&JL;<+&6CjE z)T||(&JQmyfBtxJ{8ES+<*){~S4uUPL9#f7B;(3dKw~(gA!eI^Z*j~s6h-qwSBjg6 z>hM@~dvDv{aj+}atBhJ<*RlZWV8`FCK-JYW&{sd=D57@b?E@(Hat5J{>81W}9dI`x zQ}zhuJb+WNGnc#7!^3y*UU6e1mpXg91+40na#j)PZ0o(~`kbGdFTDQOr2F>dvXLM@ z^@7aoDzJmB9Lyb&w|%y0FO8WxWGJ1#pnoHMiF}1HxKI0&hj#P3Zt196*z(&iNAs$Rm)Hn!QnfE=A zDKzizL8djaJ3s)Ms7qW!IF0Q~)xq4vq7-vE9l_V9;tmV}Uw|&YD;N|3?y#8ULm1TD z60~DBMQQk?F%tm3?wF|-L=`hn+Ygz5aET)vaGGA>bY@Nbf6#GTFj7w#Bs)H0S@6J9 zv*4?YR^igCblLSqqoz$K4qZ!W;Fn|B6`(5KSOu!8;g>?$)u`_k$(GR2(0@z(9dok! zcqB9|$vJzdWyd0*F~^^|2d`Vx-T`a6^4bC}xSM<6=N}#~2yT6)Y?WVO>AZ`aIVDqg-OnwS9iE4QPq|XMew6zW=j#FzB!CKQHk##DD)5F42r- z@dQr95|`4L1Z-{HSzC8j^YC=z3C$4WIL#BFD_2dES~A2bxyAZInN1Y$J3)r%xC8c> zMgcS8$A%Xg&5fF855+V^Yy{I84yGt3Y^D-ViE6{zkh!HM+$g9AL?Nh9@@8rxV6_%f*Y zH}E)ST>A@nRXsj6U;J*~*w#02DW(#NAV7M4siBIbo)u4gIR1F%T}i(w_}j-%7jS;@ z@%Yn`n8|Q)^8V!T@&ufgO+2MyR|=>#BHzn#bp0M$qARc!Vr`0}*-mS;O`9v@ZA-%# zkw|QU3L_+z3KKWX26$>Pd{t(`wVqA}+9gkMJTWK0LSOU4Gc0}XJvSE?y!4D>ZFoGE0<$`mBm|6jg*@STxMRWKqLlGGh9BL+)F}cN(2Ci>@MncPJVmT2jSH^HEP$3L(%(B#6 zGsCwyodYK`40lr;!<}?h%wnz4WO1Of-3y#-6uKlr0p85D8uik2Pe^}(f&?{jqt~UF zWf5l@4-`f8PF|Qmw^X2P0H|Dy4DO~vp%SW=P(=VjDkgN6Oi~nL86#eh*5VkT7&yAY z3RxOsm4h+G*j{;HLJBg$(FnwTSbr+2KY-P&uyGp;B<+McN3-FZ8T$s!OmV3378p=o5sX0sP5GbomuZuk`js3tu zKme@h2u075uA=Bc^Fz8(OE8j$RFCr^eQC;U$ z?F|H#IsnHX5IP4=6B01kpfP;Fj0vh<(2V1>E9p4KI8KPDs)-1y;Q zQ{u&`z1A9sFiBC&9UhP@Bb~n4R1ip%VcCcUDH-9E`4ap)tQh?GqS_r9s;!u{+V9vq z-@a5I{KVBC3YR#Cm64o@-H8yYbPUI{8j7emx;kum-aG4>E-{rAoq^~8%t(+@eZ$S! zmrZe$$bEp#HSV*izPc?oodO?b5GtW^cz)_&Ajq@{SCUNx;dD-MgP1BN18Ol~SrA~x z##scn1exYi%o3`FOGGCVA;nN|BP74GIg%dz0*>fIM_vd|X_AWZ5Sv{w$paeSiUHAs z@xj@wnA_-Mu{aCJVhA?OwVpe2L+q{btprUl+qxzAPWf*4E_UCkc&$SZXg4w;7|x_u`Y4NT zu9Mldc%#qK>0K-{#Rmun<{pIjY%fpt{l0#USdtz^h%uXgS8TQe{l-ERwU6ECZpZJA zG_soiI_0jMoB3bUpK}?svTb)nKi+HhRD~iM^!t?-FRh5FY4UQlCb7`8#1c7#t2Dz) zFi~HVl-!aCPjHxHQml?6a*NaXr;GPPFq1>T>?O0_3?&Iphi`XxceYGH)kVE9=w3=u zu(^P|vs6ioVt1toS2&-aT)g{u@!|07=;Zpt$>HUvi<1v0XIGcG=MM>rgPs2XG@=<- zNG#ws9)H&NJBE-)od8g>u;7?fr71&kZ=9kyAS|Gcr<1CDK_fTJgsAQ(GfNJqypk-g zkEHY<%SNR)LKG=VADL?(MWV`?eSD#1@o{=>Ta&-?(cXj)7g|;quh!?cr{s!WypW$1 z@1g4JnX+J^YM3V3s7=*SUd$?2X00o;a%H8Zw5hF1J}vx0owv!dCBGb%pjB{#8lcw= zQ4VrPojMCxGaz*l+VLuDwutp=ZzXHG24ZJq?}`bv!Yu1O^(lA%eJ#pt!fS zz|#GL;;k!PSUOxO(mA*|-^HN2=J^%}C<}?)iY$$NcCLTH{ll}9pmYhWaE)tsyT9tq zFvp2b`pK2rdm2eglc5D&)n`YwXu954C{z4p;S|@LCP<}H<=+Mx8H;^s5w~&+D@E}T z#OX<*^<1LW$Ma~F07^stig6T5u`(`BF3+!z4v&6xf@L&IiM-aoemc3lIz4tPc}wY4 zC6(84FBs&BYMc&;cVc&dB32B)AP6Eevc!c06ZyU7`hYR|tA%16%3)>ZmYKWiB8s$N>pbV>*XQbDJem=Sw`8ab zClXE2$s=Ph%%PXz&(NcgkOYqrQIR9a82%l4VLkuDfBZ#k>C9c3prM%A+0axOSxF49 zHzFn#v~Rk9fiPD+&pv(l?)3%^rWCx_qOb>FfZ-6{FsY^~nbiAbRh78|PqZ7+iFg-eToB`p_Xc|7-L6;D*t^sBCzsdfpT2*8dimqY zMPtL-Xw%SmLqj2r8>uOE))mMp%Rh>qy$5-Q&&zy-NQ+R0r?q{prcsRZ}l#sm_@AsIKCCJF5C|M&ZKP$h3h>ZupFy z(6P<^$awZZC`kK%BL=;}{}n_9 zBYEMUUf;_C5Ae@MMwvH%F^Fr0;J@}N+-eWBU>8(|;0}dT6D3Kn^r^01ZH-m|OSY>4 zOSbnG1}v!wakAYvF-!iaHz^)_{!ijbidl=`4=v(9Z10uN|95x$>-f(v^0<3bGp0I} z*pxE9T9la)Vi<*CDoSnG16S{jdH)6yl%knRpeh~AoHNM;rQ95CkADl}O(6NHt?z+v zpmYmOW@%K{T$V<4t;zGi7LC_KJ{oC z6ivu>JuNJy<`JYXme(eY8xn`73_QkDnOI#8Qn|JZz3#ict5>a;&E#>z!kX?i;XEF# zG3wba7^`39OAQcF#WB?$13qJs=bDDfd>T}YNA)EH zAh#6$ZBaol#p)1IwOzbmYRgA51hq`h-jV;epo$e3i+TxSOrJ&$!Q*L$y`^;w61IYz ziSA|11wDunC%4*H{_8eG+V=2TlSi2JH2voFyyKM z(F|Z&sE#JWFXcWkDcI#J6AI5+q2=_b@Emqx<~4#SZ|D{=gNdP_dhAued1?&2JTkG0 zDNw#oqN{bmyG5x7o<`JjGp)Z6re$&$F)|lxT+9U5mY_X6blhOmG3IwPy&>_$zj-UA z+3lbs7W5&Us)`*Gd3v})Ku6tZ2f&&5)d2L1q?~s3k5t$QSrsLTTD?`mMfcj4+>q6L z?B#Ea&*~RQvAk^p-v98xySA|a^d+qZo3^<$X7w$c5^jvq)^FxA*DZyDIFvVEB6CpN z*mI@U`XxbFBgMI~esDpQW*IKZIRdsuXg@{a5YU(E;5nK`=Ve7RPqSWre_zzebCo>T zfUR1c$K-uhjk=@}D&x_a;r|>X^}%aSN>QbqwnTOH1&EdkLet;B_g4A8yWRP2@U4#5zJms|XR=VPEGM zR^p^nxRc7Ihc&~uI7LyLYpAgBCaU_X8iJv2QQn{pL#-1x-j9rQIIb2>Io0S|?Ysaw z>KeLWd7sF@V?W|(CN(WXDCJ! z9QH4DD*Rc98Q0cx%q4+S%xn+c&yJ=n7}TvQx%iBJ z5O1D30iKOx&7RQhgM9t^T68sw87}q$Jf|Dpikw;mv?9AYK@mJo6VE0 z8reE3a`bE02v}3RY)x!o7|_h2Q1UFs&Tg!$0qKN`i^C=wo{pTjlI7x2F`}gTX~^J} z78I|##JJd%{ou@Oa%mwZsble|cSLBMFfOF>gmD^QVeVM**>2jr#Kb9x_m$KQ+nKK> z%qx*f+XN~tyekSl%RRNsvq0kM9p$QG?FISqD z&0rTy($Wg|Qevut!-`tQ+M*<%N85jBo6PU(Sz`a$+3%PAe|GlzJ8S#TOFWI4UDgR* z)&`vwLw;7xCUoM9^*2Ci?Rly`dJJ&PQz>x5_jEEL@x(dATY8H^p2G=V8ezm&y*Ux7 z_Uv(2-K~i>HzwNWQq^}zHNMg#RjM4W?`p=PGsrll{Yg@{gA z8L-nCF*LbvPz5cayR<<|mn*r`mfd5GJFK_&fq1UVtT*WEjGjdgTw7tgU#%gkta73+ zy4v=-V!e*lhEJ6{hPiJjPi2SSISzrAMctG5Wg)}-0>JYWkICn*J1fe3)RgtEgjpQ~ zJ(QLEn5&T4w(|Jt-s^QrNut#$y}j6-pVQasm{rR{DL$UrmwR?VDypRN0=T~idDQ8@ zBN1l)4-p*+QKC8BxU@%fl23IdD z62R2o+M3|_%rzt1yILB6x~^q42R|d>m5HyU%XGoB4SNn+6%U*yIu!qP)|4U2oZEJ7dnoE1<<***R21wv4K(s;39%6hZnESt zsHvK*kW)olp;u;)GT~O_kP`h~mQiX3uaRGlrj#-zKVwY-mB_+K6Lrw7PMZB?-Ph;h zr5sR|dPj->h^up#%2N&jp zs-u=k*kMT}ud}qI)49F*^p%Ok%z$_#<~WT}RBn1Ta=B2RKr_Xtf?6r&DZ#fY0KF>E zg3FYb=9B5?Ze9P(dbQ$g!T#0nno9sJxwP9%_Yx|97A-GuTyAce#DrJdT~b=@^Grzf zW5m%&w$pw37|Y9cPaA0&yU@vGVMvt}V!1aTdOUXjCurFA0AShvZ+EA>|JxD8*ZaR0 zcpCP92XHtGX}~tu-ks~s;Nv%gC!Z4;YuM4CkWS)J@4Hk;KXON(%N4^o4ap;XGlT;BaH&4-aYD7juq#XD-!xa{2DagELH>Ll;UWN`rdJNnAZrFJIjNu-p6rD;6h>bFyXXg=%3J#qC01`8fQ7ETgI@hssW!O#J0iYRo zlkLzL2xma=;^xK8Xb>l##cU(Ij`pQ(cdU2Z&ThMIG#cE+%6X8ihOyAeu&bLj@E46! z-N4mr3OXKleuc1ANcmhi4H32EV1O z`Ha4bB@SL$JQx^T->6hbk=TQTo4LT9WsJiJLqzYyo?T32AAS}^Wyp9*Q3N*M^QH+3 zAS<&e&7x3LH@7)svC`303VoA$Dxgs$gX;mK5L1Ztnix_b+$4g#w>S1T@~M{Vlb)i1 zx+vzGO}zg-V)z^tQ};;BzSA92zp8q8y$zu%TdMcuT*H|JUiRZ`v=&?#9bRfYG$j)f zqsTM2E%QL-d3J4c5wj!X;mH8zGM8Br(YexlyeW=m=1s3BG2)a{PmXacMwFpAOr0@g z9e_I$MKDFT(x;fyo-O7HA#X4;BBYqE>`o3kikTp=3^Olbc+E09E$8&>!I-3s!$yiH z`155F5w#)}6A|_XU1)O^USDi5v#KDfy}~uvr59kAET{hsox`58|C0{NEjEEm_J4!@ z{Yw0&{r&Cr{_iE8_5N?Y|9hGI&sJhc)geiux%|WAbQJoY(SP!yYRwhvBdgfqZAD^} zmuNjjDk@`$aex^U+msDF@nv^eHc}$3D|3m+4U5qIXcW;q@k>QmE+&MInex0T9Rvx7h|{V#}zGxz~RLN_m*30&SpF?@aY>_?_&B8}PA$YGZ^d(?Ct{EIb{y zSRR;dsqGP3OY;57EyEy2}${SO}+{Roax^8(p2qk=V z(@x0(n$8c`HRusUBjc*oF~&H&dVlHVzCF@+x4=l!!`Ir5N<}%e+M-vBdeM``marN*njr+57zqsOFWJG|0SBS zES|tggae+^m;`LS8CY)y+HD4keyv7Uv~&iyqU9@jgIjc#xUH7)`0wWrUO#ZF(rq=5 z@P$-g=;^zNZ?cGvd* z7kSp_zia*f>m3Gc{plAtJ~_WQIXb*LITk;SiG742#WQ+~L*==~Qxr3zoc(BR#10Q6 zo5X}oMR%%)%l2GYg_M<{Jiw7t+Nn@o!VrBo=BK=jXhJ&1jpoMmx7h*66gOz%+9Ti@ z4yGt3Y-XzY#dBkQ-Npg$9v~ajVi`|~8j2$IffXR;rK}5}15xh?P#iV`7hEO=Reu>F zMw)fpyk4%2IM<14uyO86xtX#T)G%;y#BfbEkD4)RCa;ARhie_C-ShbMU&q0Cy8qYC z{y`=F!@=&_|La8_F-dAQfVXQ6V66c>QUl0cd27AEN(;3SB?|7A4ju*L2;I`OdDT|m zzsicOLD#L;`jc6sm3%C~P$gQf&l;RvmDOz-vnpHvfbvZQS*vzUF15a7Jua1QxfW}r z>xtI92G=vh!qInJ^g_(!Jk%fb9odq0q}L=da(_Gg@LthPX_a(bYKr;#l8FL%gXg7u zjQK<4#i&G1QhOOH=U~%yvpmXavH+IkSEL*oRj6MamXHITXHb?&qAa-S0APPIti)PV z51XMVDkiNgMpQFTIk&NSOvJU_A4uU#Ih0!g&Y&62pa3UA=>)e%Z?_rj+f~q8(9P`v zoGjM&pk&aq=6PpH?_2@WV_%aC&CF8Y7S=heHspZXs#W&=q8y{Tc>uA&_E&NPeXRbc z?T62h|7UM|dv~|2|7{P}_rG7{X*~Zuy!ywh&GjkpS_gc(73iHnKzmB*q%6_=PaVfu zlmRj^F{T&^XmY$KKHTob_79N(xuSB`jn6{{?mN1*QbrEU2XVHbud+*BlC5hMZ0}giyVvk+?Nee+}&z!Hcb7Y zniRA6;dydnmar&f$TaQMrbANEcuILRin&8qirDWrB+@YF{&`%}-I6aImkGZ=Cg`^e z*Pe7XPug=5+yK%$GOG@I@+6eRNya@nTPJF63u-4aB68D&9pQ-Htv+SlM3vQv3wKkT zx>0xTwAERTdRPQ<65kGCh7tkdRz(3)^IFCJ$xBq_Zmp;}WU#cCX|!MM!Zl7=erK%M2DyTd5XBXY^`w@D~c4>@kB^| z8PC^;bIKSIs}@ZZx1hA7koU}p;bHDzi6F*zx#vfSQ*tW+6Rv#lXGn&Pvd8j8fM($w z!aGDFy^XV(JuJdg#H1Q9!Z@~saX=lb{in>qVQ};vVkkj$=J0@^FsFJD<;ba)ayg9{ zBa;|6I}#X4s%KigzmF>iio!JKPB$2Z;Wnp;_~qv0*15cS5;^64_5O9GJ;euw2m^*f zo?Ab$G0B~b$+vli>_YQj;J1u&H+OcPMc%aNG*bw^Fp`ZS@Kpw;x94$f}spAc{W;yB}i=ip9%?Z*Me{@2-kvefgpT`7=L+T zSP_J40r(OEuyUo(Zqig}C>s{aOkpK`QuuUwEZFjNEdBTh%S*_hCH5SEFw4efbB*Ir zS$qkTm6cHfjX6$bq!R1|l=4Lj29RlDQ%p%?a(6C`J5m@$6Pgk}m6~^GEwZ|)J2dy< zd&;Nz#xokm!a5U1bgK^*o=?VSBphlKfD2KV#pJgPM{^+3^KeXx zl3DJbC5AG9jHFp{uj>NS5?4}z)9Rr|69IGUs-Th{0YDIBjMJG8Hf78Ui~SQ zN85qjU6>`rxfEDDtJx@p$;o^2TG7V6ShpzpPH|vXlK*;essmFUkeb2xJ01kJQg|FQ z-YXjoN->b~0A7~~4hV{5kS=wRO$xe59$*PgBbIY{$A{yOXWkW@9ey~0zkU340p}MV zk3St!hnFYdHtojXl4D|{XNez8!Ly#qNP6Yq`j8Jr#kFIuT)b2HbF+M^ zrj$*sGnW)Z6^ah0QWA$a5F&?Dx!eUNfS%CRbaSJOLyCpojz1G7DM@1^mTa^wA#XbX z8|VfB{;ZUJW%BC!a2l(ka%60GjH;c-Yc?WOnsmowoGPGvid4TuyCDuDl!`*JshJ;A zYJD0bMxuEh6gbFI0m_sYt!qSuJuDBp` zluod{Bh{D}Yo)tT5(jNLS9oeEWt-JE^7Sx_4ThG@`F-RRb5__Hh)F2sR88kzn+qTZQ?e+BFn)nto;ynciqJ6>#Xf2)lcmv5+epVhVyM z#Pj9wGyqnf3L*YnYDoep7xu+kbGDkM==QFjOa(zLubMNuY|j|Bs30n`ky)4mKrk^|g`vHR2e#rwtcy2YAiGsAf5W`?{) z(eRg8Mx1saTl_{&jU#xxa9Tf}-|rd3#7ytJaH+8bwPV`bEAf@X9deW+W@j@Z~b7x1Bj zQ691=f2TD7y~1N{^8-&AF!d{A%`_?C4N{e^)eKX0K$L`Xa9B+7*LHbnui2>ex3vPO zFw@Fa*Zizk6PkKuu=E^+o2s}glv0xvkUCAIQn|1Uf>&4vy&~+gDpMa&p2oL0CSnhk z@1gX8PSND2x13Dx*KML2cPn*^Qi4X2*v{#Y>9!b>7H7Fv(xWA|Zl_#t*aES&5yeba zfff4n$$C2IXl#_{dDl+H}5s;bwMoW&l~-@O|=_neGI zj$7>8<*rbr#vF}Q>tBLkVg{-6t(4A0?^NZV@caUgOR1C_#ZzH_?VBkshvWWUHP>E? zE}&i6b9_bARa~@D^vs4&Cg5UkqE=7EUxF6&ToR4 z3ZqEJst#;8S|LqA;?77siLe$Y`D`^MyTxw&^w+#2SEARs;ZXHocVJF4B@HA+k;Ow$ zUrpNtk(A|~5YkZ=B23L=EzgC@q3%d%!V`{X+GHwWD;X-@7M$nxq%OJ5pBnj?s)J>F zj;v)&NoM6o{v|kIBC^hC1SkN6?_e#=x?xPeGrRdRB2y5C%nMuNkPPumb?MEO)Qi9J8AQs{d* z`8(MY zw;pxLyUth({nc_o8LN+$+jR}M7aCip_1?ltr@vVZQy+bpWlrd%wy8s_oysLtZRw0& zW;`G4`J3YeRP@a7UG*%Vl-4K0?6lkiIrnrw4xRN>YzfG0mT|56t4I2^Nri2$wP=+_ zO*QQLGutZf^-|{Af*e)hZYq{zbrvQ$Pp>Ir2S61*z@{j5Kf(y}JB;IES{;`6Ks1n~ zxTh27Xbq(UFv4S+VwG~o^~93vXkuK%RK{8xE7~3)>eln*XB|)nTMD@t-w9>Lm#%|S z(j{4%P`; zC~+-e8hCj}OHkwo;~7>{$9yVPE6F)40u&`q)m%U$dMn0M4PlW*gi14^xs=f?qlCs` znbn0-zEMy7uJqq>AgK%0QruD@AOl=8D>mWRjB%Ku8w@N#I5B;;Bh2FYpxcxyNsBq=7TDdD=}{;z6`x5GVyn=R1wr@uI`8@uIrB+ct-X_xnfjJlAp zp4lRKmVQz}s1i@UehJE173Hz)`7~}S=q`UWu6ia;DxU7Na64JsP}erpwGDM`LtWcY z*EZC(4Rvipg|!WJZ9{d={nvKXwH@^Z?Wl_!+@I#!-e`YqcKFs-SjXzR#3)&BgluPf zG_%pM;@0`WJH;MlfnC`KyS9n0ZKD4zY@$l^tnH#NZ5M5(``R|TBAc%5qir~9H5=*g z9V7L>^7HukzbgB7n*hLz--Nd@~rbett0<_wfwJji64+M!M$N% zM2NZMV-`jepspC~2{xQi>EeaWT0q8PUn>u#4&%@qFNn5s{~pzn!ok|`P!yFi2)G4n zl0WFrutBh|Q0pp_o!jY?&k53KnDRCd5;8}5&0BC;BQD2ih)b8`+&H(jM5zXHQ7~#r z@&$OB#R2T^$Un*KG8>P{XYhJnCG33N*d{3!#bX*`kU8*`(a(pUF+`N!0Fu|r7|!FO znDBxm?f}p95!SCd>GR#4mVXadbY}y&@W*D4PGJE`LZ78(V#7GJrH8BO6!=BV+k3<|Ba(mH%WVP)Uk! z3C+|QvW|(?ZPC4x&;0MUdqBo30m&FX(_IqXmKW=|wIoYkZvPnRpz^#$*Cbc(G8p)pG5UA-Ud zsl?dz_cGMN16o+M+t^8oN~?Q4ut~;r$%{n z%hf91ow{Z;ofr**Ze2Uhy*#;rkY+9l)rLjk!r``+gs)47B}R<2&4%#0Asa$S-Be23 zMbY4j;wrL|2s1YFX^axNWz^%_6UBz`=HGQ~Fy?m|k7ct0N*d$qz?R6D+i@kyxW0S1!EM6B7`iB z%C)y@Drad_s+gpd(|}fLUz}WC)c`QXOHgnu_VCw?2{;ZIwNzK2P zMRE&Rc^$RuIgeOSeqrCPg9JaYaLgu z4gtl0(iBO%lzf-3^a`CT>Hi=Q<=PR>F&gA+co<$M5hC%m05TK{De#CX)%fu2801I! zbJ?OK)FU@{or8xC!k+&&W%Qcm>tR&OPXVPGeYaG=in)@EG_^I!9BD|#RlyZw9A3S@ zG@S78(CL99iI{`pFEjBv04fOKdGWIws@BR}^(orDcH~7z>=)H9r+TT3Bb9Bus=U_K z(Ro#F*EB5tS8CeM+&uKIj=ft`qp4>(P8M8a(Hi7rOh=a=JhS^Sam0+iT`vGiyQxB~ zWx+~baX(}FR(#u4B1hwGYzd2SH@$W^!|oMw4$*%W7<6@($?IRY;hyH=iy6sXE3S^t zy&7g*KAfxY+6%H;>8Id36o>kMOXhz`3Uw=F?m`Q$K8iBNae8|0sp3_UvI3X%WZ`CB z5o1;zf(UtPV6lhWq>osnvLoK!?!p^(H~Sncs9Gi z?`}3ovQb3X^o;TgjKcY07^avp%!Yy~?=a}^^5jtYS0Yu!LYQI{MwqD@?hy=OV7@&{ zvodw+EgO16oD&7{VESHbyeh>rP1)8AnYRt~7?wwEhhrHLem;bwh~Su?o{O()C&GYn z65Px|mt}bj_&^qh)ALOu1%_}&W8A0~>WN^jD7})>*I@BnZl+k(Nbz_Rg{;}cH#M4R zW6@Shao(bu!d7*)9}JV#G;Kfio~TE+H^{tp7_qLn``IBtfe8!4AlS*Pwd7V3p&|4c}iy5uc{L5xxy(Os zoT2EFqu{0<>r(w*bNW*yb8Y_9cJ{@h!P3l|X7^k0%1mB04zTtcJny$GxgvS4v`P`@-<-7NV#npCX@^|R1B^g=K1Bd7ALuw0e_3Idvj z`rd=O&8TkgNibi)C64$#qI`PIBX*5q%^@<6FB8*UJzrGKUhygau6wC#g53`&c!1(L zl%6lLS0=BoX%o&UcWQsp*IqFbG+(Wz68?{AQyxQ?YYO7)nhd_^`z>R4Ow{#B=iZal zmvX>TN0e7GgLIy7p-sl2(vBoFHK~o)i#kNsK+JAly6?QFDEuBpC=PJiRZtB;xxUdj znlJT@S?y@HR#mB8zlz!mEWF%NQ@>87fmKwKI-pCn6yl>V3_8&tbOKfna4VC_fNtXNaic*L!irQ@3pTiSYvCjJ^j|w5`~KiGs1fy#CHLQ<&>sUVSZNlc_a1}Ce&WjuLuex^@Qq09=hIvW?mtV?W059 ztsaf(UgsG<)NdD56L+c!`gb-r3rmd|RK3Vlcf!l(Y&A!vj{hJtJIXr{y2oRhR_1S` zc3L~f3XXnL#D2o_ihq%9!BX5A?8eDaUc<`kmp;AE>9tg1bpVb~6l5yny0o{NOpY1D zaP|ID>G^&K;Ad>#$>=(2W+<;*xWjK!vGcPcZ-&`aY5Q02FLm_*#egE_Bpa3+z!9FJ zTS8M+JpV=~lL*VO1Ql;&9L^3AZ=9)4N61+Lu| zFa7w%-7yz;xpjNq+I?`oH?7%T>rJcL$+m5zX3PBijnwR}VHpO`+epJl+j?Ci)jEw7 zc|5tQy?<;Yg`T*$*VTEJp18O_XCt;J%|7R5Be`Im0M8K?UTMozC%gnKX>@EjEG@4T z)s@NI4$cLVS2;;<7Q#!KuiI+rkQ|kbHjOWH5hopQ>pEAnb29)-$07f(~nvor=gOSrN{*B_iq51^IPXj^v}Q%94c=IGac zY7DtlnS#}nzlFK8!ql^soovC*Y$^7_NSlhkl}*c%+|uH!$v#8V&tVY^=!|6vn8%Jp^~2VxxuqFo$_Cc_e{8i<n;NX}XTl+% zA%@qomIrPXfryIW>X`SK!R#-cAWI|Yu`%@i4bDGaUYR*J*go+4e%~Jq2YdbP{?>09 z;pRWvK(Q%-h;(QbSZP!(aZU5|`T zPqZQR<|Tz59(v{$rQn^ODBK&!9V4o%m+rR9#cT1>u(p%b3=FlsW zKA7U*#st4~TF@kf9*5n%zf`xjItjF4sI$WlCzt1kM<;ohVv~+oJTm&SO-C%Al9Q!* zEeoC_J{{T{`T1SKPR%}ey@Pn-^Hlwx#$qxq-U2Mv{|5*C{%%?SKiFBve|wRqLH{4D zr>z`IVV<+MazzJY0dW8b=yw2 zw{!8ao$j5Tnb(0xNMf5J*aT@uC&~NSe-8>50^miIEjyVR@p(FJkwBqP01AadUC^UP z>JQ63^5yX5P~iuA`i|_Kd|8tbM)wU)Y2|AxR{L8Z{(K=K&gz^Q)q?LC$$lY=kqGSD zP)OwmfPy+7P)V`3O8$V=4xV+V8J&}SMheDhmK8}-=C3knVZ=z7iy`F2O2{WkPO@tp zBdh|XN%|l*qeUD-K9nHCX_+AA(Ib?R$w=H6jdpgXQ9diien96t0nG?yJE_KPKvN+g z?~G$Q-Vqs(c8+KO%6wSKJ2;K}dH9GVVM?PUul6C5IF8WsAv18lh~|VAIl_~iWDoR|Bw;c`%G9kzc+H$~UEBRYua7&u#Bd9`Y_d3sOd6 zf*GlAT7Gm}pOGxe;nW5QoQYDt@?79WO9Gz}Ao(FsL-<6dX}o}xIlv~FN-_iEX)dyR znNOL(M~qI{)UPU&86=Mp$?dS?HCzs#1QI1vHbQ^>yV2b@u)4cj%a`wEwX?}pV;yPf;&O)@{&*G%S+GvBK8ufGHNJ-!TEZmrm^`cBUAEmgr02q z-}Rr^AD}F!!w5Z*fygdJ4AaZUyH9#c!nrEGv2s*bS@G??2X}1~c2?gRzzA<$hD;M#b14U?uV{N3`oZim6^sx@8Fb9@zVAUDihO*A@me<9~OhUT&u z#bYD#634#AM!aU73yHN7sB6{QpH%*j+l2gb^mW~km*cf0Vwf==$R3c+EmTJ{E4ZMX2c0HIYGj7$K55JFK*)$6v|7DSMyj0-Rm+360^mj_x(y6-)|Z{h zgLGgX-D|Aex*;90pTW>kR+Ki7LZDp{R30-SLhJ6U7whL3Wn0Zm<9QPaOT6TU9VLte zMMloAqV!jiMU#c0%BdmktthQ^E81;9f~Y86RF>WHxOqjYH)YG4snfimnlx;;2g>)u zbM5z{;5Kfi)(LfRxBk>O?GCkt&K+B;v|~not!4;b!bmaeTEO0|$~jhCTwQI#z<%(^!Ov%Ric!fpCh(W)pAHR_gi_p#dc zsy)?5f^$ow2~L@yRaf@p-HsEkW^{#Vt2DfddS-aGb<<5Zb_-cyDPp%eZPbm<#s+)y z{ExfXf8;d7)3xOP8}9DDsOJBBzOny!h^Ha{-}mkI-{=huqe&D<#aCH->#&dZhI@M( z^O24D$nDL=f0DlQD5Eq-6B_g4yxDhe4^Gj|j3lUFyqOtgIcR(cYsgYnq+rR=HCI*Z zwFBHbjD>k35TF=C<1$2oc2Gk(t@x*T+LV;fa+Z@MApV>dNq#OsdOgEBB*3w{C9BgC z3*LJeW5zt?W7(mk8HC+Op6mSb$=8>0^b!fFxO#ws~{t*LDTeKhS= zhN(I`UbilkZ!qbtE&JumPTPr8ilRFZD^a4;puIKj4NXT#IU*?FL!!Jch3BSfZBoQB zTbU3a&ijO+gJYGjN~RC zM4nLK1J6#%XfL|6H4|2~WDxZvnNWEr3vc12vZ3q1eaq)V&5(WYTPnPjd$qJk1QvQl z7UEu8LHoeBa&Zma0!jquYilefU?7PXAnnZXwF-vX{22WhEuBI!owDVn>P-yW9v1yK z8KK?b@J-aAG!6p7*c%#>5jrC{{4I-e@-7LwwB-AK8%1(H&lK(Wu%$)rjJa5)oDv_} zGj{5r^<3JFa=-T#c4%z?U~JIvu73|Ok>@Tsua3jLrqlKecvkaw4Av^xM_VHwfYwPOYyyHqD)p>NHlJN6Q_r#>wI2 zX{opgYFSgovbAze)=rBSi-p?waTuNc>Z6kh7-|{hiWo{WdL4x%+!lQMhMa(6-K`bhi@QHnP}8 z7HfIFsw~!36yuztO#tKH?<6ACOGv0qK&x$2DPuaxV4P;HLYT=QZ;{TYLF`i2TbE@O z!%>R9 zFl;+jF!Nt&T+E4^IL1sBQ@zN`h%kt+B#SXZ=~g1}>g&Ere_|Qqw!ua&z$p%*d?DS+ z#NH(nuT|uq=)dKCWXT)Mo7!rPvYe=wI+Qsh0VlKRgI|GhT`ei^e2tA(IDUCGE6SE* zl--C9lI!&l=!!viD-7F|nP4TVmaE&W8yC4&tKBd)mX$bqokfGU==4|VS-^6flSvUX zl7G`0o{gxm5fxgVe+W?_BXCu>OkyyK-J5QuYt#27J{S#cq=@^GBEYxm;@#1^5n@E0 zm;;=^yfwu*;bJ?^a1zX-WQtxX$ILQ?gvu(w$xtMD6mtY@O2`pCT!f*B9;dif-F=wqR`h6h3+N`0Ul9Tp=hb@lH;FRE%1q+*26{Nco(`)Ax|OVXZofII+bKm_xh^yEM?qMw`CYfu@y3k4;$Z|)qQu)2>;9wmOc&n4CgA) zKGWwbzXu_*Ms-KQM%P;{yWo80=hV+n$vU4=PXB`EalgKHQ__Mnl4lXQE+fYBLzMJB0`9yGt4hC~^VK2T z8Z?my=y8-p`5CpPM=NV`F$M`o1fA2RrLPTmy8zH50fIO8e;7@w7aa=F5tmRL`CNhZ zN__h5ro<*NC2N{pDKT{$c79+X*r|f%9;r~EKAs_aUC!=;L#H`4DedI|_=^3?DIL>~F##STJCFK-`!aC8b zu~qH&`!MYQ%X&#|rTsrN!$w=&o=p=9^~v4}wyT@!Q&bAX|PTl{r3NTSxZsgUB_|3=8qZbMgg#sqW0EDLTyU=3{Xsgz`n?M+LB$b+AeVE{BD z{eDhaF5Rm*F-2bLI;yBnhD4;>;iD|Ve@7XjHwm=4jbhF0RBc0>U~I}hN82f)zISlbyzlZf|#Veh;0`atITsBybOuffall6kR;cyGCCJn zev24(-y|Lo!zh_%gfU+Pi--87OYr0vs#*`RjX4+^`hB0VxDMHG2g4>(J~W1M9?B-W zOvJB*p|JJqyi!DQ3&H!}^>jqHWoUjS4BhLs7Bs&ShDxsbb}>{j|2j;?uY_T;OkS`t z1gjGZzkA0}*{ycK@+)I_{4tHAAZpwBz7mGgFuW_r{t6g^Lb2OK{7M+=$fMoXvMz3* zdGDjFa^NmSkz-gEFHyYjl@jA?nswfnwHd-g(oib5A5ddm)4k*`I=uKV&=z;H%z7!$@i z5b-NvILBFp!?DueWRyb2g7+s!6=XSvs`%H(uuc*7ZD3e1k{xCwBuO6O*e2ySiJ?Wx zuZv+o;RZTl`u>x%cmJ(9T+0VP#3@7Yp^aD)-c3x z1j81F_-$fnlJXnDFwLSl&KBxk>>H$^gW)$oLuHxRQTL^a-}jYvPmzfA^y^;l0*ps& zI~HNL7osTXX)6}eXvVTrcXtX_BMq0uBXmX>jb&7L@lhwilCEYDBs-@lBw2J#dI(Xl zi&dqVZ-94hdPk-sU_x$6&|}Pmovw|L-|LB4qY1MfvY1zS7CoGcUkhRJO+*>j_^Qx~ zGWd9g-k%)#bpXwKS`Jnu%*_anZNLi-0m-z-+oB;(WUviFRzmZ#@$mxE%hfb&U%!sf zAx*AH5`jmOwxbXkeFK~r3y-#3Zi5yp~QZr^;)-A&+As0x24WFt{Q{3Br(2 z2#~3u_hF>69*f`1oMYpK%J!QFI03lYdOr%0@uvS801_b5RhL{)hky(JCNz+E;GM#@rDvZqYHr6Y0( zgkMz=g(LJN91plKF;MGN*4`Idxo3&tgDer3)T#CV=| z=UWnK;Y_LB!zVaq!fRXV(3G>~0QOWIhS>;t(3GcrNkno=_pM0IyTAVw-UL>XS;pcK z@-h-e83}T)*Aq4fy>3epby|wXHMX2qQ;K8hlVw4VM1uVI1}|7;C{zl42)ZDQlY4sV zN>?v!aeadqmS7|;K%7-1K3ahFYOtit(m2C`P^R^VLTJkn0Ki!-`Cay|hlIbHYm-8= zW)q9Pfkfq_gNc{Z)I-XzxzZbiwvMzF`ZhY3F)Pmb0+2eYZ6p#9zrpD?@_pZIy<%J8 zw65BPr~twcyp+#UkvwIKqomf$2bPjRgZ1b7Vng6v;@Y>QE@eOAG%qHI{tQ55uh5PG z4DIxg-FM&jTL!IZ$a<)4(0aX}Nk*P9&SX`8=>!;P-K0;f{+47@yrn023r$cmj@meh z89)W6DciZ;?I9#Wu9OY*5L(rd-go`k5mFxQMz+mbV0uh!DEnppRk$34JeH^~2%XN4 zEy4a`D(ZRymY`T`+-yp3@*>~*2n&|ZNe(d4-Qk}ge5r~2owCR%i0p>q^DC! ziIpVJAm3FDXhyjy7`{LFgO65i?|zl1IZL zH_n}yiGyJo{02n{%LxvFHrXOdrkozIhZ01KTxKy62h{{cIYaa&@hui9%vwfBdqVh!->x^3hANCs)bW3-%N0BU8VlY=@m%? z5v6U`x@V$o^tBoVbzPW3DGgaOC8f38QYvbZ0pc<2$Y?!CROf7?GC>iJX+r#((*|>z zYlSVdt@48zLLp%gA47hEQ!^iib79`KW`($6Gq&0QsPu~bjKYgsXNB`JvLATOs=}ql zhzjM7pN4HO))I;?tlxl4CM$7XI^iFBmTbrDm(wYk% zy|)RwvO0YoI5ufF|+)*h6A)bBeAKdV^;4M%@1dF-Oyam@>8I0um9vgRwX($Z{24j^i><*V1Q615hu{Lp&pat%23 z&5@-&p%XQ0yNMCJ{frjVS=EHl&?~t{do_5eDyS;bBTFXH zcX|B9>+>ciFJoq2ygpasljsRt9o6!Pucl}jTIsvSF6&C_L#1}F_I4mNt_Kun&2MPs zVp9?wCtm{vkTZ|AZQj!hIkG*m;=-FEdP9x|3;ibBO zfLAe&l1uqv_;P5Ky-YKj(}2b!hzn!s0#2dIi+!iLJV*x_zNs|%?E9M9FTd~gdN(k& z)F#kzXErwFQn=8H$dxH)(_Eh>M^^H3p>$TTaGLt%cIZcR#|DDXjKp)F%|u8h_%L|k z5B*^yfGA-(PI$9Y1=d({juSj3;b6QNp^GSvNoI*6#zYuY2R+Bxl;mOr%Gn{X=flhf z##=pBPltPQum{yaH9^`lpl()JKwo~}v=Eq~ZR$qxE+p;sK9qC*gHF~D98IwIIZi_0 z4}!yUlAtYCim`79n+Zne_bzCN7YGZvRocBk1)2$3!k(AV9F1u{^Lv_+J1mDG@<$O} z;~3(L*Ii{|#&8n0Dvm8i z8NuOVK$0+}QNpECFV701Ag9GRj@axi&ChtbgD}hpV}u#;w-t7$=JYKmP?Y4d8;Y&G z7!HSav6#69b}MjxpMSIq?SB7#rBLukY!&)`xW88^l+UtglH28WpAReL@KhWb%3{xV zpYQFK5;&hyhC*q*lDH1_(@7ei;Uwfotz=Ab7IOJc#mOoK95m-3O^MtNLR1x*#J{`~ z4wPQ6*L#Dr2p|2p-eZVq;N7X?45DyO7V3zp%%1q!BFY&GqsfG1d?2N8V4FWS`nrsS z9bO5Le=Lojmcp>i{oYFLL_I8JdescH#$LLDA1}jYBvq(0v{p=c*&Z_CHX>_?zcWf% zRlTNQYH1iabrsO5uq-m5Xsbd60vLBw{nFU627=aVmTGLE9297RB^(o40j9i21#AyV zz`avp?R@@Uuh*`EwhXCnwP+NCZmXuvBSKG04YFz7bnsdzr(*-Onw8VR)u4)F%i8Jg z7*K<4m(|n3uD^<~EYyXr%~tp|2+P9tIQM$*^C*Vn_`0tEEKB?4Q&{R_Q33cY^v7*f zumZ>GI2|SOa90www zfB!`#{_E~0{`-SG)+uHohw z^gj-U8bHmJb0f6+vK7!qfKo$~sGLA^r*((rZ0C162CXnnaN1KCnlrqo zo7)}28T)Gw)4e@+SpWRA*r|=>>wmwp{)c;;_5TphnijlVCik%3CFC%g5|)n;o8i4@ z&qoCBfB)j?aR2#UI0?h>`OD$c@&41@-DfZNhR=3M@cjAiB-r2E-5ZjpFT(J9{4xlh z?hkSB^6B@%aQL#P6olajd3(dXy}|Bqu)BA$yFc1}J{mst_nz*(crko7eER>qf8ND^ z+x2g#v%9phWc}|x-L1rbf4={0v;H6Asic0{8=`YOXGJna$1w@=j3!aQHhEw+d0>|2 zf$52?6QdqNX%WXN$@KwP=kW*tGEypQ&LFJxg!xoT!yZDvMRAN`O0PJPjdaG!;+&t}FNG=11!NiltCJfl z(*ZMtuW=Ofaq(4RX3!iHVMKBxvXe+4oanz7OxhFDiD4<%hg89;pt>T&cru7-fOBHJ z2BKD-lnnqrWOSgx7Mh3sr@e_{Kj|2rcBaoMw`x|0UB7HuPq|UeR7X2vxXtn3YES>| zuEu`%Y|f5Uz#+V%S$ksVraDdzIfYFb`T!Q3KVN7>{YWObZAvTG)RanRjFu%@M#~fG zIiu{R)Z6(Ep?5{5^c;M!W+a}YkP^n{Gb>WKOVoMa7%goD`R7AMZp!){aj0; zK9LZwF;|~#r<`p@|8>$k{k8ndpq()-M?ZjP*GIIa@nch@$l4f# z{a#t9Z`U>&=Dg#S??w&Hc7`vkrwz!kxb6y-0})V{x_Lbo`3*mPGV^S2rCF5Z6XXs4 zol{NqwvV>RJk1y8n=fAmdWQBoyNN-ua%y|_bc3}GMNW<5-=|MjDLX@<_`m;OndMVqkXUqyLNew>6N49yNd zGl7MYpDy@rluem%V;mq5oQ+9tu8=LxnfulX0N?{O80dEcxy3;i@jQ)9SaRHZj{Bq0 zF5f>#Kgb!Wf&Wg&Rj|Bh13;2oi=K*k`1at<@%ib&;c-vHQ6=&I)+f^qCdZ~^8i7YJ|(HABCAT3HS z3Z;^0uOdp79=LYe^|m%u*_B$=mCANEN!vHi|G2IG$Ic47)EZ#f{on4hp`-tKzWaQ0 z|MwtI<^JEeRTmjwjl&e2XSsa9q+^4)T^G}#XI&ls%Uq!UgFkCn|4VNJm)-yEzi{=x z&-b5h*8hV%71i(V3v@6KX~4G8k0g%KAx?0JH!9+diug`fgOBd|^d6y~;K=Csx~P+t z^v)Ajk-~E(N@gUBau}%IBZw`hgk$Ef0i&_49F4)=!}{{Ik9MrqhTp^wWI&^JX8|>;tP^6$bA4 zBBwG=D#Cao`MeBx3FOVO%A^jCFC-_K_=+boqL&ExXhbM6gwq}>3yu&%gTa5IXacu9 z(y2s*1{W@z+i=BUAO|x|T@ooRHO3R6Bv-1I|GA(=c10HdsctO9{mdhT!!UwNpcsiG z7E{}l!nX95<6KyH9^x>Rab3Z$@pBnHcJfD6vj3a^S{ludHT0=|ZT@k9hOc?As#b8C|Q z@08FqCXJ;}F=HbXk~!Vod%FMZ`M-%vP#i{=G0vmB2+0U}Pha{&&-g-BtxPcF+BXucTecz^?pCj)_?h`-IJ(<2?{-4ZUg(Oa7HGpz~+p`*d8cnMZ? zavk9NJG*`5)m#(eyCKN8x;qy_Vk-=+PeDz0U)TxgTUQ>+^dQClbU7(mMAC{BEu-q4B`#UO7k-DfDL8Rp^9+8nU4!`J-Z|I3+D_4Zvv6MRF9B-cQ#aDsI-RM)X{UQMW=y&an7aAN=qK?iW| z8a=SYTh+Am*GAC8y1+eU?SU*=)BC2IQj=t>+>T1V*mOBsGHhR!EGtJ7t8A6Xt6Y!) z@s`|lRRYoJ+B=^<)#0Myos^*wYwx|PRqOA&!sdIfPS;Ki*GHD=NJ|;8C29H2bWDtv zYoYtRuUURYBdtcw%Mf8X+IYe8)GZHIq$|xUy(r5`wN-Bmpo16I&L;J>-#q0oo*Ya` zl0U$VbJ^Z&vFhu`nR2eWzZ@~uK6af_V-5Q_-LAqwveF} z&hlQ!MO%{d;PmwJ)qkBx$nuWXB%(Md)-J4D@6aHI{i zF5uXC{WZ(?f z;Wb7>3C8dpLF)j8CfS*=Yze9y_i~radO+@q@#3Z=Ah3NyrjW_9h7s%@1FBF6e01UN z?84oD0aT69rw1T*hHhR$9Iy30nN}7dQfKoADW-?E@iqbSK6jO|i0*>x96_NB^5mqi zhnLrjYBR$(%VXB_jlaSZ&AKqkv{k{ zk_ifqC?jNs#fRbCl(I~uq-MIC4zjl^c&6gyNh#1fL=9^VSdgqD`#}20 zj)3R7@jkqyMfp>dW={f1+>DXVVsy7W~7%a}F2I4-yyW_nhBU zWaGt&x{3K7+gY#R>nmyFr!KWe0|y=w4E@^qN$D&H(o=PSkPu!sBNu2hi=`H*?ore} zGGb8Tnli{B?kmOLK6@R(8+og1D=k3w!|$^f@Nc@r6P-FM!eIZTN&!r&-s{UQhe^F0C1VUSy4u_Zgbu)b(=0otIq% z%l%0|K0M=7y%A8%(bmZ+s+yf=cxW<{sI_Ggn8u*g(HTPceS7iG)?xHqyRpyr3iRSw z`=ZITdmZ|<85GR085lR>e`4&S3+|wfQESQz3cNr13ka!c)>Tp<{az4=$RXA@w znQ3fcxPDs--}F>1L@`{V@I;Irot}y;XFge?n-_y+aj%LAZWXX0MCwOicXs`UoOn~k z_?=mHmf!l?tovy;BRO6X(9}QjFd7JM+RV4p(=FW_kjv6}!|fhH(X!t^x4#MRQ)6c1 z3<(Ld2}z603VhwWj0^rmeB=)=G<%;$FbtE$qd>{rH?#h&oJqZ77#jQ`iylN1*hPG* zlsXvri>?3A^wQAosW4FDTMEocv8Z$QUjw9aRP;5)O?u2O25Z(F&D1K{TbryvWr2fk{OkPngz!j;036K30#Q-&m9HYBN%aMy)eX(w)C zeI9&dMN4h{kVCyn@2)~_^uS56CCQB=$g0OvvamZS)+4^3bB3tPDzy z%F)pzP@yS|rzAP%Ty#aH>On@(rj{VmO843lQt9nl^ui4g<0qfk1tgJQR8UbwoSs*-4YXQ98$Ex_r1Va zfq1IPK4hi}_so;RNouS#E6$10iu*Okvc&KK9E0eItV;bvWZZGa8mNp_6Kq=oTrH53 zPE$O^6W6M4C5-G^Ts+Pu>H3<=j?#pWz-_OAmluD#Xu!# zw?4ot8_^y1kv1Er5eul6<;p&rT3o%dbM7DF-6*;Et6UzFK5L?WU=35SjmBa|s(&@w z;nmL{gtN>Firnvqln@NJhnC&b-w&@%n2K8u-w!>ppJTy%`yYMBkP2HRGDiQK;psZ1 zpBX$Q^%9RJ14}zAQc(1aFMlpZ)wWzzNVWHvQ z{P`vlGfbTgIyyiE^x&O0Q+&Z8=rT*}QZF_xe6;&G+#ir^iL2kwwim*Se5p%J!MYRO z+*1wplf)^GSa1zdcg8lNPMsz%U7|iQ=4#*1-r>Rv6c-F1dIy58U5Y8iCPegGJBsGZ zpI|3M;>NO%8H&1>$h)1%6g?KLFWL06E3A_8j=d@NkXf7kSp&Zq3WN>qe((F|g$Q0E zkq&x}B%~CChx2ZXOQ>DM@tbI>rn4Y`9OPiHk zUKIqygYB-=*~(Y^k7X@#`D0nf|B{G=CdU{lI1`^$+`;yz7e$m}Wtqj=4pM(>kEml< zdL{QKy;(eiHw2@CV_FF8ef@pKXG!*DZt3=u*y8#(`yOq>2`0$%Ln&!?#LTS~~Ht0wB{{+}AyX(l1Y$pUH@* zv&7JsWX?>gA0Dn%*gplBMnC_qGjn@bm_-QZmO2UMR!~ifHMqVJ+)@S?$2=M(@`kSd z)fL+PAm;$v)zv=;K3g{SyKXzDhug#3!{_z*W%uKA{VwaE4fCYrND*xG2-9MG1- zm98hE9i7FUjRGq{Ox*~VSJPUT{GEhJXqMi|{-PfD#Np*k;n{MfW9X$g5NF4GSk_8d z=`t4*q_M&z8||20Nz7&5k*a+%IbB0noWjr|KG79wSl+~;-Fnoe49x@1Sq|*Aj&zjI zwp_6kzz&KMHy@_1-6Ub7bdgGThI5;o_=J979QyYUpP-gnNL_9bM(#*O;f6}LD z>uM?;9E4_&3k1WcyTECwSMLzG3~eHBVoAeX*gNLiquCXiLv}wMXYFUtUS+OP7&89a z1ozceZ1Jq|sgUN~Iubo#^Szqoi4lHm+ZjN_U9!0Yqr*Dk7bz~w@dqP*Hj;4Z- zlE+s<#9ckJqNU~*Hk;_9sG;%C=@)#0Y?=Mtdla2q(ds7v>7QM8)XEd&$e)?6(8eBq zPBtIkeymq@(FKSji=zpC%Jr0GakMk08fm8)&JW1U6*oSBW6GsaIV|Z9VNLr(SSJ+; z3kwtii(957LC1+5Mpy)WML`&Sen|R%vkER!8~$fl^Q_OyQE3-}@oz_ALs{(n`;Hk@ zdGvYznn8?jlVU0w_nwCJK4mo~6H~;BuneMv|7!iWy0`n@_kE@4e=($R^gV0Q_|UeM zL+J}2(}MKlINGJcg}6Z?SmRi36<>?N&~sFn>hn-(wn+(!JC^M zer6qXGrNSbjo7o4KG>*i)Pu-pFnEf0-@4KUP0G6XIYz~u@0Yj3kCTI@(Xf)m(t^9N zFcAQo3aaT3Vhw#{FRs`YO(`kQ&j-Ewhr8AIWG#os{p)M&;YTPZ%<>-lv&Kt2SvTLa zxt6bawv%gcm@r>wK?aRTe#$Y zf_W+@_JdgaCmSJ&Gr`Q-nwE!BNk`*-j`;)Ct8R-b{6%CI4c~s@C6av! zuRfh*zmja+^Zc#MG6Q9zfU{G9>?T0_i#3RDr{fEn_30{U2h@Yz`Jq zY});I5wmt1_1_n?jAPiz1`$S3V5TD_wDwPV>FF?@XyBUv0{m0i=+ zQQz8uaIK&LM_OC?glF;|WGtJf*GAgN)B@5+3CSV|if;oA6yff*^>60Oq_rMNwa|nt z{o^et*)zQY={uY%??~kYBsy7e$lqCU zn9GuTM4n8%$oJnv(yD3Tr%6Y_ zW|-bX=^j_dIsr5$Tx<4`Y~|+by`IK_mfRMVwvUs;!iiN7VyppX1v~(#K9?tHl1WV( zwA=>Kq5JY_ZsXx;Yp^|n)4vqKQ3XW*<5wHQb+fQEOiSxBZa*fv$Fd@NqA1tJ6X2<) zZ*oXXHjEC(%;`Y>O=sk1U2_q+#|7w%Pb~L&L2q7qwT*E;BJ&`ld(+%MT7(9~H7buw z)?)gx1Nq{nCzpOTK;Z(bJJPT$zLB&Pp0$EY)&b&!?_(RaKVw)xwkgKnlf%1Z>!vwj zS{dPaY)J#V656CNj%2WFuFPj;*OOo)NN;^2SHx{i=0deDcw_3rqEBSr6P*j$9vlp2 zf7P?gy)%G7hWDyLFfORE)Fs2TYcqU5PNYr9CU&O;v}oy%9_m+#T}g$Acs^>(e4~)w z{a?L$S?pg4sF);h!P!lnui96c&!4JqWVvbOZ@QIlKHt0PmG4_k+|M~szSuiLH6g{v zu&}?43FXzNeoQB+Z64~s~%mGBSs)^NFW(`V_L{&uw##>UY4(4uX%8!!fhR)JI=62Sbz@BU?J}h6 zSo$|WBfC~Y35>CY?oTUh*7F}}-<8>P8rEO)8L%hdvq)43J5vfw+1N5;X7fu7K3 zk~pBP#-9=BqR$G~;==GYl-``r>EJXsh*bMau2k`F*4)eMX;~o`BaU(|uBx%8D`to1 z#b9Z?;;VXgmB*Qm5+621z}6t0mVU~-o<4eceUBhYUfn@Ud2-DnWmmiDD_lYUXwK<# zZs|mH?CbZZSIT};RI3byD`1c>CV&*#^-_j6S7E*w#UB>UEO7MsmxUX za7uw(o^)?7A)3PCdXSe#=mGVzZ<;9R2mh$Ej3yNBrBrEexw0dtm)VPV$iLi;-5GA7 zrqOAJuHS}rADsUM_U^2ncIFKDcARg%bNRQ{`~}CG9>45Kzikiu)J1yx1iAN%NVtI~ zsb*Z^R?^;K2RMsQR45M8dIh;zYVcRgapEAmhlPHJ+byyV82lz8?ROv9Z)6K?uwgLE ze_B8cdyQZFTSso7KJ(*N(@QvE&p(M&LGw;$jN0+2qKc$oZ@cMxk6+-Y ztpF&g8M&BnEV9cGj7{+L(9dj@P}zBjDWlv(=5h!a_B67I5k3jr3YXyw4t%(?l_$Zz z<;bALNMJ|ghsE34EuYd|4Y#9tx$|jW5%Mn;xhSxoOmKEzm>7trLd@dek5?KQ?oDe3 z&?-ImSyVSBTCwHZIO=%T0Em@wIy6S%6zJ_uF2zd~Pd=T$NtoG*3{nosWF0O!dT=`A zsNC~2Gs?4duqT=#^rC?t3x&e9DXQ6S--G4fnBmoKFvFM%RhMS zJ4PHjI*zC!@2$FIWul#PVJiGWocY4s-rNaI99p|Jq(m=8NRvZJ)U8IG?0X-zZeHV6 zTfKnmFF)G8o1MOJuLZZVzX|p&xxRaZARiJSY`jgjI=JDsznFePqoGLXslY`gJHgUF>CGPQB7%KvXhX z3Jy@RjJo4m9Y}7;k4S~$b`%>0&{0ldr}B&49n{eKFQkUH$_A3&KVR#{QQI8<*5bxO zI5Tr1CZ#dy#KOHdYJ>OLW}idU8mJ`Pwaa3PP&y_e$KX-HL`SsIl0=+zdn$m1jgs|e zx3Tb0n(sObd7&h7p#=^ZF~br-*m%g6^6_|i*BVPWUjsRg@38W%#68j{?JT0i1!i*^ zW>*P@CiV7_IBUV;D!tI>0IZwUUCeJMFNFuzUKNT(qF%AdHW{$5yMJ-xprS3|`k9}= zu1oga6(X?^P(>xqK6lpyfe4xX*W$ZBpUh(2pGO0dL(#QV8|DNjpg3A(t)O?ueYRMlHgrFDPwASoUP?+q>fbbPrJ=1j^3(cg^K>-Q~H3&$)A+^o4u;EYoLRZ;b8*t+#;+MFFF*FQP{-hxfTj z{*#5qb4Tx_Ri}HdBT|%%iB2$3G^i;F0ZgOu)VikUqVC$v7}HgV9b&uvgJyy_V~=6q zD9CA7A@k6vX`C7erzm4+0@2!kKQ{SpMwRYcKhSuL*6F>XM zxzR6^q5IXz`xg6nuJdsph(np!yAz=9YXeb7Z@_O}nle#S#HIN>Ob6&IW`0qfn-?iI3(Ni~cFDqHF6 zB}@D|xx%3A9knr!sj&uI6%rdiS(Jng_5$F|1kl=JcJh~b# zsageul~>u`ME!_^Q7ir5u-g#?6$Bl@@`0x9K}7shB{jC@l-SsoxX#F67maOzkvWGs z$pYupg|NeIsyr?^JzFecspUL<1crR2&R9so?f$2jgI#GVswx~j64N(&gP7Fy z8ca~CRu`NO0Qu4sJ5?iV5P_b8{Hu!+g zF-wvtxVqn@MPlop%zKp1MbiY*-+l4u-#jI6vkPqnE@=H0VfthXajrm zSX$K*;h-hj5dw%3!&(SAyocBN5kl6cg z?%XDl%))~VFi(kOs$W?{{l`r6S4_V)T4-wL7qIkL6TKTDR!`}ebzSdXR+}K}2;0@q zS^?r7v@5#wz8l9gELjd)OS2MtcGeedFm*A5m2RuDNZxg!WB7=8C8C!#<;TX({Ljj3{cdkymWU^b#jRA7Zc^Ie~`JKFg(}!~GBJ+DIE$Q||qfY*d?Qb)e81>7( zTmk>Rx9`jAYx~PSg2TP&Vhs?Fjzl01lzw{hfW?%yKQPnl<>*UD4(_J6RBVxV>@xs& z+q+K#Hyh|qySB8vWdeGx0&vlk({@2w2QN*GZ5AudKTp85lpxEMTgf?p(l5sp&f>fq z#5!{=X1pCfLoD!phr}4k;QkbR5c!(opGjQ{_#A#Y-5$5(XC=^_4O>RNE>4I6b(6qS z5}LeenYvK~qC1YqY88$FkpcVK@pAv6aJDsgsSUS$=8;@P<4(>5HEqECUJXJ$u#w>@YTJQ(I-)skadDmt zQYBkNgbB)Juj7^X8UmM!^Oa{{YmxEQ*E+8M8w9+fUbXbx#1wO$4m|Akd_4i@(sQ4; zOjDckeX_p2{nhLJ-PPB1_wAQZcK7wXyD!Ml%GuVBi+y{oldp*fY)MU?+&CQVe}O$( z2xo+jY9hfD4spOATN`=nk}8sn1ZDpqYgXS`2 zhtPVyL`%oD;H>$dS;}Vc3J(+(R|i1>w*q&BH8QwVwKej4**KSOruezj>MeItX-FfY=FhQ?LeMk05I@(!FTF(Y z|7k)RD*{j2N@G&!B}qzlBSD|MLmaAwm3`TUIUm{3JD%{uFkP5VatjVW{9B+lqucMo zkRP!b$RtfbT#iUow)_>T{8R9licc_IV28vz8ieqVBeqHDO=|cXL5I#G2HOQ#@_dOe77&=WEH zUa*L^u6+D{loWPmb$81YH)ye7GYQpmc3Kn`i&nK&H+tRBg^*kP?(4NI3Wd&-;tA`o z)1iI5v2wicd%1mpE;&vrD{{36BAfi0kO}BA3c;0VHwvMTxkWdB9bz4VA>Cx^5C0W_ zo-W`kg<4SHqD9pb0{%QP|DH@LK(9#gYYelY5e$re;TZ^dX-NzJr=9{6Yd@Uds3fy1 zt-unYCK3~%B495JtRipoKwhDC9k$dy5bDVH6PlV6x<_b{(BNwC@a-x&zjX!ml#;IMZ47G@HS!zu&C%Xsw`qE zuhk)^qYPnf&@lc7RhK6ScdLk8@`T~HKo*#_MlZkFJ?l1wU?~JX z>CdF0Qj}dzm0LWUeD4iBs_; z0aGGK_iHOC7$Enp3e!~|fB49mOU z1bC#yl(De4@X4*x?*Qnq;HlT9A}qjcc}5G2+jdexSiifK3a!%8Q&e&(GQ{r+;b8F4 zupvt(AO4h7))Z%jSziwP{7Mm`Qbs5wk4TN`0OjY_AaWVvw?^dlO=oFD1h`SNSn2zE zZeZ{1f}@)I!0Ma=IbZ{$fbAkwBw&i7O-AcSkEI}u!D44!ZfuIa7Aa7>5FB+2CZ>q7 z<*YQUr(IWj_BabuBKJOob?k>_|9lcR$E%qbRHynB z06|u8V|vIilw_q6ygFsP0*l@sFA{Q-ayKbJ_#of2t1oqoO%9YSN{a8D>ipW)=n5;8 zShA)_JdY(y4i()ITjSf1<1$>||4pP|1YX2wU`ZCsd{uScNQ&TYNgOs5tl!AB;4;;k zg8_Hx8W3pis#sRi6a}{YGr}N=8WP2u-mC&U(3{@%p4sef?pRl`iDlVixo~Fp6NXvZNSkxX)m$Xx|k+)s%Fo)mN?>mYCuf^R`lI*V<}E)WCl^g_!@G&uUwZM3=i6Y z_KM7Yu?GP5*#Cwjk&*Y;gk%Wz^m+O}boc73;+t#bW@ZxCIr6>ff*_Z{Lzx}-Lr@`| zgwGK$4>N6ow2Hj5Qc?U@v?bKB>@Q;p7>!AuQG@z*Ud#iUd>2#MKTSOk=FeR@MImoi z+9J>2yGWy&)3;pxgH)TCp%(lg)upC{o)x;h_>qgQt<$2QMJ%SuuL?3iPT`a)C*gbr z{V8=R9I7P&no{bW8mw|Fz{q%${RKJu@&BF{^&64j?SBI+{h|o1>V4B@q+t6eV|0cM z#i`EhPDM-sP2`#+Rnpi3Pcruxk{`E~c5)dqrEG#-n#2!+c24PDWK83Af|N4(#5JdU zlut(gfNt<0gYd+3+(jQQcoch9#;N&b;fgFBvNeFv)`K}!{a0MFC7hcI_>k&C5*|wN zlT{LA7U(Vwq|o{_x^~PwqiI2X8xPnOhd%Hb9gtTsylAP2_&4Q53rYLw!t34M`rXLU z*}&_o{mpEgT%1l{lC;z3PsTE}veN1wSk;=zeV4m9MsDK5I8(H5$0pjO<#d8n$;Sxv zsAfX@&g5zKvx3@JDBbM%uyo1hcy9GWOI;cl+*p}nVaK&fbEwZopkHGhF=D8%)NN!ACX}peuKFquVGg=- zSVpDd4EF}6FA$+zV-~Qr79AG-Z%4joRa6wGU{O=-6c1UyCn+Eve?;!bXSQqoc^*$1h4JznV{Etz;Yikkr zz^{!M>|e!3<6a=W#Zy>KR9sZ|+L(hemIA@jg*Wk4Xm7|Gd5IB_RnVrWWTi_~6&E6B zh>+rcS`}WLVNeMyR4v1sunTT9ou_Zuf;eXX#+k=X=?3v#@trfd$2J__SLK2;Xxu#7 zq_a;ONZDkjc-Q18_${H7cE2fY;H68uszU1Ugwm_wou%lN(h)v>W*LE*>YBfldT21n z*rFCF=p2V;dv@i8jQUN+gDvBhhfJzUScvgp%6ui{gqk6!yQqPL<@LX5#xaZniSYxFt$<_JBzQ2N@z7#g>1DYr zQLd}V;+ousj7ZSei84hIO9u53ALWf2QOR5^qL8cZZPXaXAbn6d@Vd)#P;ddNsd@m< zd9v!vo-+U(N+vs^C1C6k5MO~M;vMCXXf7kmKw1{_3kULA?L_2Nga7XRSD%>>3c^ai z9rf=7;0kT@jnn6#Ba65?C}VMoqi29Hq!5bXfcA!>*OWbOrvc*T6G(q2v;GEpsjr{~ zhnbTK@0ecnwthK5$E`|3W=v2cMG8|@_0{!k_jASh9z^f}%k1JQV~J%(#_Pr=p71Z}C zLaR039!^dAwZzHM0nxOrELOm2GwJwW^YVuGg_tdQby=KLZYd!Yl=}X_MR+q>r{!8XZioXRcl@-uyZLN?fI67?_4Mn$R}u- z>HoAVy^4KXH4nD02xV`sl}$DcOPpPj8ol->rP9fwPwCT92D^Dna?iSb&ON z{ksU$*P_RQb&Ioe0ln-@Lh!GdL`^B_=U--(@60^cv2S$ljw_)4t2_U^-Zfl=t(U&J zT>E=LzVW7s@8-x4Z~Irn3lza$1wr0}3C0+c6Oy(uG(tJ}m(aG@1&gn+IW0thTW5Mb z8GN#WPJ>7KzDrDw^(JDL)fbZ7REwMY_VDmccv*SidBy4%uF7 zL|A+fwi(&kDk2vA1oh0lYQLEB0M?+2eQi{f?9kt-oKnddIe{KyoLw%1j}8X^h}hU# z0TpZ^Ktdpd#-Nm?-<1!Uh3uiAHnbWb@gf3aA3=Lm?85zY`)=%mu+^IiPz_f6>#*h$ z<%Wan&yh|toO8!}QG4+C_u%SG9`CfRx;NQ`-Z+p@f*=Ja>Uj0vju4W0`9kXs|KR(^ zm?i=0Bq6fXs!?r8T^ixr|yo-)@@U_sInMP6~9jPLU#oe^Uq)5rkUMwDk z)6SdC;0^^whIso-%VsAwKG@`_y=tbR+_(LMAgH<`}1$XM{hY!OBDU`fWNtsTs}KQskn*rJA}iPbCV@-OXvSg1=0R zHu`qf3NLFNbjmix)*K;Y@asq-R;4O`o3w)`qN>J0kdJLHf7${LrYQ%!yCV{(6NYBC z#mhHH@n&5hFe!JgyXvk&M9M((_1O~}64<)yH9wODV{kw>^1Xns6>OOi9y6G&-mniS zo`_+u$A+1#3YUY|pk%EP7ikxI6t;2Lbf!-KAZtex|P6FepC4^SinF}Q*mu{5q zp5YM}$k5Yl`W#WLLjq3D$+7|0|FbAS=oxYN>R+ zXXKGN$|b|Voq)U}^)u-u#v$zH7r90DW7tS(_b@%KWxY)hUYpvfjM;4R;f^tWZ&0dC zbk6|+%y9FmtfY~#wi~fku+;wVKo$UP*!u_}l@L-V{FScb!5<=qG^qOCgk7oepm#^T z-N*JK9-oIf!dTR)I=VZ_W1y~mv47h<*=CJ%{LLkQk*XWEo!a1TZN>JldRRKxnocJe zZ`g(HB)Ck0kR~o8sqF$gz!}hJO#e`ExPF4Y!uf7%SdSOkwn*N*SLJ^={+*CSE0#@osM`y<9r2N26#xGZc9|&TmYM2)k%TR`=}K!g^a7IKrkeV^fcA7&T2#Wg>L)GRH>6s%h=~ zIG}#Xi&$g5xZGR)>$IbXzplhehFxPz)eithBEsKCi!b7uBpv)PjABj%kG0A1#F!~D zUhU$buuAD2e4sMO)>snC5%~%%0vS8uMyNe+a63l?Eak!ERz2joEiwLuk!`U9%-AQM zDBM>%1-J?l`M)ljPy>G}nh94@5?}TOCi#&SIb1gUvRfrwzd|iJx9Cvglbn?i7w&MF z4i4b|5vui0$e34rjZFRI8%22(9VHT;^8_#7yhZ&_SLC_NegudedrCT#-#$zzs$S^z<>JEijNVQ$t= z`%?fo-?T4!RA;%6_u{>0uzM9~EK@Ou3AjdafU_kFbf^p1{BWU$2ID@8Hi&YWC)19n zyyFXLoFhs?HDf+aKz-i=nE_qPk56sYs-ae~)n{`C-ooR)yj+KBIZsn4jXz(q2%|&u z<5M5)w@H)g?pIVLW-TJJ9Omg%(9LE#GYttU5!8{^XiYtKPV5qK zkdK7DD!fz4!i^D=AH8}aGW-1FFiAY}M%0pOgw;=qa~?{MD*6GAW}vg6Ex&(uQq=^z zV0#(Z6JdKmTokN6_zQAqjC~7dY!pao`Npx?W0zQLMZ_ZP9Pa@|K~@{cy7TuLmL_7L zF>IE{PWjNv*qeZ-R(^CF5VV^mDXcNph{_*9fF*70w<*UHwmNU&j%6xJmb7e2SiI-- zm%a1&LV#hk2rU zqw7X_E&Z!551XQ98Po!&WMXs~Kir%Z&~>DoR={8ilfl$%gtS@}Ca6?tL4!=tHL#ZA z#}4QPWW4*l^@8QgCy#W+BGu+<9r4P^0^Y$Rm8#Vs0Ewx)#0*=og;=|WqLNxu7kZsZ zaQ^yD+wLzr(OhRyc?MvUrcc-QgderxX}*AGj7RE|1+2J2E#4{21&p6~X=|4(T-OeO z{k-v|gIa@~Ia^#4*eiOHD(wfuBg9t9MnMEM`Y2I|H%(<$93z9l+6+FWX=wF~JX6^# zP{^q3-dC820|7Gbnt7ZZ zfE(W&)c{uwD~z*Pr+v4I2W_EqZL3+(Qd-Ms6HCMb!$K|$f@wM!=>XOg^T&filziNx zlrY)4Zs3|Ks<=6Drf?|yS^1x*_bkE=KK}PE|Cix*o;O*B@}ckZmU{%acFM5@3ORBX zb@lidl_W4cv=>|ppQ*-PL=fyd$P9|1uM_`4DILB=)6m z2+3Dkz$YP(fLf>~tKcpW10`G6M@}50YcM)27dJMp3}+9gJwA_ops+KI)+(+XAu)e* ziJfw2g*!hB3;zPf_>3%!?<)5 z!yQ6($)`&o1jiXD!(7E~x&&-eh($ut=WqX70$Vc_3-*Hlrsx8K{9JqtLugel!ykdC zQ#|<0{&=La|8QV(_kB1P30_MWjp~l^y19in?>Y!y^C)~GD@ldAKKkB`xi;Mozk9aI zvR>>F@7cz`yRzEtaXr8N4tl968bn?=X*Z{=h<8)CLzi9_BOK`e0YHZ*R93hg&18VE zzu-y*5r!g`Qtjg#`BUlo!OGkeJp~p@kEC>V-prEX663p3%Vis)rH3R7WohITv zgu0lZnYS&7C1`=Sgcl35lm${HX!WX*42Yd|gc9(p_-kD!GKMpT!w9Y|xtrb`P61+= zI_(`~Xbp86{_cR>oxmbHYMe}$WBANT{d@?=i2d3L`zd<>p)J1#$VBz1-$Pcr-B$C? zoMs=gsxT=uRZAtY_@@fPl1dEf!4WfRhzLkKCH>$cM(9NHi;xZ2^!rHn1z^|;Q((;T z1O+j268pUt%}uPa_u9-)pgcR!77|n&((HDi02pA;-*`)RapNA%&SIcS$3rsP1D1z# zLy~0BsyO7HEr^N3KXx)=X5hOx8)fr2a_%8n^4bs{un+N)1WMAabpic+f>c~Pm+h{f zU7gC26S4kVS`lB|OL24xR?6=XDz=p}z%?JsHaJ_R*b>Q*YqV5X0M?=t4T|n^-rvh^ zoPgBnU?Z`}k9#wvUTXX*mZVW1J8%E{1ot!T1Qrz3X(`{O`=X7v7*l10G7h0#xs?0V z6A(rnl$RKw3B*90K}r_+1MuQ$V2%m3By222=ftfkpGhzQW0%QNKlRLMBF`8pyKwxv zJ*OA41ggwN?$dH?2ICIW>P%qqv_Ol?f38(kQq0=#Azg)m>acmhJnDg z@1icstomK-&pGwUDEk}!cI&MACa3DvQYKH1TmKdd&x;rbN-7V;z-s`|>S&jB2Al2P zP1>9*FPwp9%~@3LL6rw+htaWB;X=VXEcYriTlxqU0d8VC*AqL(KKq59RCTJ5e$}6^ z;(Py1io^7xx{I)GygG8^p_n_NrvMkb0v;V{vv$+D8)CQ2AxmD*^+{2&4oLS6dktOh z9F3%kUix^B-UJaLB1is{6^;V@Q*YN{8)Je1x8UDhJYZ6xU0jwr1K>w|r)=CRq^xSs z(z;=gA`&0@E9br}DpxM$It0z=K%6WKw!bo(dN1V&ia{Sv4NQ*yz@J5Y!iB{(7B&Z> zfm}u9-)BH0>$n^CXbFx{ZCIJ(%w4S%;cxvg|q=bjM+2k-Jtbi|kxDIM%XG{Hu4qNXxKuYcsy>+q>Qi0pB<4 zqhANaxnUpvJ8c{OMVxFuf(a42q1jcnq!7NseREHcrfM>9^UUm_ebMus={5#@mVp;XXAac=FJ@uMZ1cwVIjN?e50Ask=DJ1B>!th7U z`+3Kfswx6g+6qYlFU~q-uN^>V|KKhXfX2?#sug7E+Aob_upd(5&BBw+k=zJ98yOw? znEE+G7Pzs?(`&fxVg#U|s>Drks}65lN?YL{7n(J(OV2MowmOx12a4NTFVh)$Z7Ef# zqppRj#Ud4gpZ>ISvf&dSMdSw{M&5U#bpfL4cDkt9SUu%L^hu0r<7d;nJBjXd)a`uL=?yN~!K1iM-frxy()I~j~vw!5YR+5C5lTAgA za_G84(2hgNB@tR74rO`2U`MqDP-(knTcZM?M}cT7Xv!iPAO!xy=rpiJy7q$Eybc5mD0 zCMUYcP8XAI-fht)Uinm;4~Eh(I#`c?ZaK>MSj??e1pjfiWS#un)?6!S0S;&o9_sqy z?-$GMI_%~_cm^nJu@aIp{US_VyJslGFOH-UWxli^8f<##@YBRuli}OG32d`6 zJ2L8Xisl(91s2!nM8lmpg*^0BK*oo7K%Ao&a8MH^m#!`qNL~NbNi?8H)i$HYlhv_H z#rFQQqP9a6VZznYd%ecyE>XFpUo?KS4Pf>0rk3RKhl3kmZUWX3D>R`;s z<*h1Sf#O%WSpuyw)y%0YT9SkA?GNns~sGbm@&Ap#KnLY*c z)Fm@x<)%+%xW|EW<`A6Ca3!+Alf1YkW3w@CjH_LJ^jg`drKud_9K`_~D8eQn#IhU?$#cdG2Pa3ShQX81|FL7k1wA;sGRhRom!bmzu z)SE;%3RR*T*%TxLW}C1F4wJ*=wJAq_MAv=&tz{^#Xjj& zXmGA{90Qg;U5e-jKI+<1-2K4Lp|kgLv{Fr_8>j3>$|F^~Dwzg@UwSn~V-Q1?$wlC@nMs9m;g+wQVmUAEC>TV1wo+cvsv z+qP}ys{484i}?P*inWn3w=*JhUUQCdj$;5%ILJ|mO-g>tTDfx+^oy&Qdwdg%21oU( zZn1>-QMuZ6B-hC9q`n%kHN}%$OGE(eR7AQ-+=z!EyBHzZgFGyw9Rx*QaSli^MJ7;t z7UQu_prO!I0#S;@A1Y2F*HIHoJjU56c$#^_p+`F~J%Q(|<5!FzCxmMd=s-0nY=sHy zi=cI6(t){)>IN4ScO(u(^ESnYuIEPcI!i2#?Xhf)?F~!_iWk0=@^W1=yi}>9c_=Vj zMmx%PaRPzsr04nkW-LOtpcIpINu!l)$?3lMmBjM?c6@2Wo7rXBxWYTAr|jrQ{#TB# z(mk(h#O9OWC9k2}_rA+Kx>OVsnbJ!4sn>MaF02H4YIKGyM+6K5@ohSDSh?7V|I(ei zir`eO;kfII=OKt>Ly})8P3ipm`{Ns6{W6r32(Y*rdN9lUlt;XO3-udugL@tU%m>39HJSpi4?_<*)%OK(?Ym|{-&GZFW{djq4u^~6a^YuN} z_cw9m_}RsxzfV>@z2W_fAYQ97AdyeA*8pzCs_n7wkZW?8UKBQDvhXTAk~GNEzD90#duPV&QpBkR%o zq-5nv8be=|={oL;&^>bbQ#LGyT%;?L2No>7iDhc_j=Ul?e4_uusjra-IPp(@hXDl+iG0qJyGz5l zRDY}v(_m@6e+h;aL9f+94sa-K{|bpfR87>yy7Ole)$W;Q1&`!`+Wx9BBk7XBKc2$`z@hHOS^5^`>fc8h3BVeA%(iix*Nlk?e#hH*gd&T?_n!U@utEW*`O` z4vR5Qr=QjlNyEwBb#_5q1Q-qkye1p883=uHGdD@SB^#t2-6b76c{4tv&({U)sSAqO z{Ew!N+<8iFDU~AQeOQ0k4!jGq8VPXyiyW>zqfuX{#+s5{(y3gBW6@4W5XVa4UQp0~ zAdv_r)1Dy|cNvfvc65jS0JUd;St%3V3!>kChQAu)N8QOFgjg=fql=l}`w(XZXSgha z@j)|_FLAWLB81grnB)*xi%?w_5PNd?^Q~vWX1hz14VVpWr_J|4a%7-Hi}|*PL&)O$ zIKnugrzVC&og^7oX6ycbaTA5|b{Sc~5l9WkfUv%Yur&{_kOnCtQ#z5L)tKu|NW>@~ zC2z)sLJtT=s1d`|ggHo4k)eSYTb_F3omP#!YOnHgWL z%sLB;3ANC`KZ4v8)!lzi1Q`5oE~eX0_P`tKy`qY5yG2fa(5x9TL8G(=GPVCvf_&3% zZylM450lc=h&Eo@C9#%Y@< zF)ykTB~LZ+gyk*>0s5*ibzmcsFEG@HLG|X7XYO%ho>}dk)O9~PHXA5;Is1@dF^ef}Wcz4u#9LN&uAKoHM=>)$=ht9_b1jQaS zfqVEB%&)neUwI^ji0wbF@btm%Ju$>T)3Y+f%W^=2+5`qq{*R@G?9ic_i~?xS0+m{F zDF9Q8?jJ&?%0vQNw=dHJ>w<5<%!6yR=ePQ?EfQ%X>7pa`MV1Bgw4ue%aBaC9$dN9jB19t0xQ}LprYNoI9yfS|NT9vMNf8$& zTbM9_A5UF>xZ*xEFZ^k?D(8y(6-%a=;Dg$@`fYiWK* z<%T!l+fp?XEvGe8X{ zsznM3%=LnOBSQM3LpKb@FJ!maE5-0Wi9S3bhmlZhSQwNeEh7?suye5aL{fL%r(m9! zCF~7OP^ES>20ZH0eemip5*RE*waqARgZV7)4P{Crr7%N7M~@d6tU2mJshqD&!&&pt zKyz>@8UApj8VZje%oIz#Q}YRO0I~Ww1h24~OA%tl_hpcItSjw?DJc^x1w&FG3xGxk zL6|^h9xZ74a74{`j&^0AU*&Dd=F8*EPyIRY6bnl!=We<66MG{_6+?jY_a3xNwLQ`A z(blD6`s%F5-!#-&Qs;2@vRi(pesVmg!~O{cpB$7uGv+9?|YH2XDu@IcYlO{m< zc0dv|VXD&j@Mgg-*F_+RAttxDD5V7kY#{5051jZip1lO)P`T(dk;nup$|#rtw-;>o zDUxhf*hmNP(6pp82M$^DgTIiGqj8Jw`sZ@94g|3BRV1~I9DcN1eDC)^8Fg7ToCl#* zc}GpH%iM@-8`&Loq8Vu@!xPH78^vk`=eY7J)=k_iNix+CN%x~gKc1qE_6;P}WBy-j zr>PmysarcKdhif%bP-CeJ5QR`Q`F?~W4ooKdrWCfDhVzjTj4HmHBjK@V0|_NKB2UM@tQp`kJoA1Sig?B6%2km0lLgqYGn%$~+z7^&gg6 zA)6ibK1p>nG0n|%R8Pr4*0}0_EH!eM{pgh5k|f^*%#`ZP`WZqpQOqq}63$-I_%B?Y z<{C9$^v{H1Nl}x`W?MPu=x(*bymDB&q&vwbWhKoC`r-m)Y$Aezn22SOx(*!QZgfu{Xb>$_LeCF_A$r0R%&6|9Dgd|{p4z?W~dt4oYXiO zNk9Bosu*-Ef6Mu>hNfTJe*YC$ zZ{^_PI)KOIHMJAzY@qm0rIz_oshRxzD9(XSk?}SI5+{=M6QO&CKXRGk&AIIZYQIm_ z(D#~%W@XQ{=;)kNFvz+@ANnTnnO;_(=!x|YVe*Derqqp!i`OD(ftJxGek2j{^WS<; zhI{3emc16XF?d(dgcdbd6yl@LPW-s?=M+IHdgYc)D}JdtdKgMfqmj%%8x4%Tu~aA7 zAP>9nZP7(Ui~a~Nymie+{L6P@Nvec84;7S>t+CM3csH_alFeg6@zNTWi-=5vZYZ`D zjIT%|(4!NgKY47B)Eb#~`+C=mP_o165dOzfYc|1EKgwFA%94NOt8G~Q!w;*v z0PbqQSo!B#Umhm6Flr^z*Z!P@57E#M4kEAFIw3FW5qXmH-dU@Cwsk6pX25&9B+MN} z>vrlZ_5qG4S$FHY*df-dJ=n?{;Bk{IvFIG8Xj*+iUTBwz7?x&2cauu^qf*~)RtK3n z9DVO;djkX4qTrNbo)28NcFL_q(w+RsV=R7cOfd|*XchlB%h=R|GzCgmnkjOi){|uR`l(3NOR{8~oHFn@$0iidsto7oV8^eR!ro$FgW{lNO8nCd zZpmL~eUUFAI;(;9RnXXyr)bbMtj4Hi&(g%c_PhkQN2K~4CD7`z^7RQH1CIZw?LsAO z`matHVt8LvV^@}TepuFhJP9=mb>Phoq9e@O^;l&>_0My-6uM(3cy5m*oCstXf7KQ2 z-SZb)fL(yr+$NA2%On|H;!;qw&4O?LC79#Nr)s6?#4PJ|Dk8u1pk^G6!%XaDh4nBQ zLk{}lkE}HZxf{x?VJSyqi^iZO&Mp?C9&zSF)I`brl^R3i*!!OB9mZEaOL*ss;Bo~9J&~6H-L}2!q_f%4 zIZ7g=VfCTw>T2%CCGMZm9#*4DtK2WCX4$QtF*EPR(LJkrI?5`uI+v zuyYdBZ(1Hj|9y{~myly-zIVf}5u}aYPUR7B(LKDWCuHSJzOhCz9VnEcLga}fL7Kf@ zc38k4jB*CCW-?2{SE;#gp*{iN`eo9G(yuquV&K`^dc-iz!1fPxh+(JieCa#77fz~ZA~fJ_?4 z<7mWE^=?yZ@#F$NE}ARz@I}sXWpUv1H>xxbkquYp{j4*8;s3*scD43jW^&?g!&!9D zVRGx>fnYP(FnM;ayQn3tf^o^W>O}Ve?XR$Boz8j54@2dQsr`WIt#8tw?w2N%G4-3- z^xC9gWiAZomm4YP-eeauaMoDogn*M=nH{ej0{oNxTnULB#D_DdB_C~wCcoQpd(pd8 zKj`Uk_o)j9coN1s!EC=&)#ax6$aSi*rI~8JI7(&dc~TW~*oU|kXRd7o|G5x(@p|~o zc8sk9P2zz+-~(Plv2tH{j%DoAf?J z;!ZL>9=h^TZ8dS|WlTFq;*Rn@VrCv{AkYp)+8RZgPL^uV@b%qaVwBX#+Smw9ht$4i zmUhOk=yKsVFTA@nD;Ggvm+s=cDDOYxH~GfBbX6>U2fCbr2$VC(hns0BK3s=aCIDls&1xxVDs{T;RvG`g}s17sRX19C_O!U zlRT)e=wnXRIRY9Cpo!ccX3JxcWan;e;Nx1t*vgFJK07FsZOuQ$HNid1_o=vT3&6k$ zg^&ZT?zp$Mwd0NRDgHp{Y#v>5^{Q9EPA8JE#5OGm-p#yB{H_?8*2UP zD(&+D5V<4#Hf#BtiI62P!)0*Gwi;ZZD2aVs#-Cf7ugp()P?RJ#Ot*ztW&U>CP|L{q zfh~9%>4Agr%yww#o;FBFZ=E-nH0~Q}C=g^9_+{|xb(-|>MN{YVgN|XHnIGyoKsPHw zVw`53U$at^#W#VqpA}9AQSF!g1~ev0OC7EQMN>As=*~_EG{P_mDu>H5l?R=~S0k_6 zg=)#Zs+k^tLUcyuDZU9P8!ZKjvPnbrb(WKr#U=%Ih9GQ6;seyBUsB>F+Wcl4-&~Cz z$kb;UcM=Kp&t}?qU*p-_>E!p__yzwno$u)NSP4&uE<>BfONh|OjwnbwPE38@TT^-E~Mrprbq?b9#_n)ownbfXO*6EPs&%JccgG5XM8{8^)K;NrhOqheLcTsIuMt| zsoQgGFG|S)JFxeTICl!xiG&&naUvP>VH`P7srcZCf8P(=xL|B!0)9=?Ym|goIqKJ| z)#qv?)a#VKYA3L1qHCn!)#i^$$MAwnVgz+Y_PvlX35?(99QiMpH1NtrBHsZ>zDc|) zJ8Dsf-%O6&MO!FTJ|~YkY#AnV64&a2t`n;jvmyhmO~&Z{RS_BaUp!S^3yO>2=D`ja zXv_1O756BopIX=1lp~Ct+ma!v4A^Yo&n4IWLU3 z!{%h!C-fwf?!`rDETZBvICayEHO=Z*`tLEDsug#|UvMY%2q9F( ziYOEF5hX)xDO!}Z8MsR;SYeli4Br?JW~{f5%`O=OVnj4*)p2`0k@>th&Bsk7(VdQ- z8@h0k!2f94Ine*n0{u%#s%3XXaj=#bamiUA%f9l98aHoer^wp;Q!b>gJUbI^KenbN z?NC~Glhb-CG>e?580?Z_^xgY&7Vf(>HWTJS2ArEE%fv zZn*-cr0zM8P@JW_=19aMc3)OwyjiVIgs52 zTMxOhA9J8YaxE^BKq-P;sBDyDODp_5!EYqLhJ-{`AqMe6h_{BU0o3);Ll|uhxoIh` zpZbBWF{O83OQD?r>2SG(b!=6=)YRJj?@NHl*CoO@0iP%Gq9nPs+Has8Tfn(h+%r#F z@iT0A?%S6bJ{)FVXxE6XCRWC-og7))5$R6eNkzRN8<+Mpm@Et{=IEZI&uPe1X33qz zD?5Tao~&Mryj?HYlfB?nBm zFlp>gcSXt3l(4Vv`}ZS7xRmnOiOG~9sj0-;N~M>N^sgR{kZ@Ztjmd@VZ35pU-JHFX zvnq^&wnhMTc4bk-QwqlIOaYlM-`uN?=iI+DIsD$=l&yfzpUB&%FXG&J1>85sK37Fy z5R7#Q^>UmWFFwDv+PeG4w^YvY+s@(8-v_g?&mA6j2Ze0Fk4o_X(96lp^hx#U$7Q{a z#(rUm-Ii$e{wHxL(FEr3XUoD+L+oU*j0%g3QI3)>78Z-@26z5iw<&Q}x5|9fOuzB{M;-6j1hP2HbIDaeHrI9DecP?7?NWMiF)mDIBd4Tfob*nPq z@+l@wo`Xc~*2IRPlUgP<=>sM%+5upq#6r;rjvHDU{HxfeASNSa8wD<%^Wp`iv~!V_ zI)kdEXx2IbOuy0f7(e(bs;3Dd)>INACs{ic!ebzq`pwI|;^E3pf=7{-d zC^HMF4#BLDg2vv%g-VKHO7EjJhr<8T{I115(CpWe$yFkTATv_#ZUsE9ze zFrsJ;4$&Eb?hAZztUgsU^5S2}e_?*S<8J5b3kGcYmN+{rb`vdl>>Pq#D082a2Bi67 zih!K*&cxW)%WmI;kWex4EV*LaOijsIqkQ@twA2>j{3?32k-DedIdp1RO1WCObuci8 z?#S0_*dc&h3FnLeKO}srbj3-EiD70hAA8(L!*0tTQtug2%Rc_>g6wfYu~I20qNQgCGi5of{9fI$1kh$GxJ5kGS0vY`Gs;yWr2OJaOJ{&M<^*c;W zM%2Xe=JQShm7zuqCkCXKFPl8v^zgVMomz#YG$o6{9OaS)e5t3Jg4|FacQl_V#5wEG|GfLG+@m^^tZE9IZ@CVhB@Qe)oo{x zW{B9h(b0;xI%OwyNPVA{m0G4`UCTOjX;Svxsy6$G3cm}_3U#3KsO`L=)rn&_$)@77OCwhVhyQsNx&*rV4-w$L}=R;58TMQ==2@8+g@bZo8(S6473*goY&Sav-ji&0*lQSa*` zJOFONRnTjUAIBg0@~T@|h9jzSY=};tCYhM0&%mry#}Q;I#*7BIat!P3XkvF`>n5jJ z@Z>F6GlVAEp8rbWj07E?&uXx!E0!11!Hqb)z}e6nZ4^_m7la*4Vx;s3yc~d2Sjedn zrfPhYW@x3l>MAH;%N=a5rKd7;I82?=3aIxTJ2qb;EcL0KY_PniQn%;!_VD?BaWj*6 z2~NX6z_tGyRM0-Xk~9UQf_{rO?-ORzU!$QVxM)&Jdre%x&nv#^(?ZDGT<3JLBtFH} zOy}3}!nRr~q`HJQD*&D}x^O;`T03fW zIIPCdJnagru|#8XMPgumv2*dQvz@`{46sV{{;0DtZc2Nt8EpT(uJaFkTQH%=L}D;k zfz7h&I!qm=v5W@In=(?tHETza2 zXF7EGmcb|G0w6)^@h^rsdLVjT!x8Xd^zx>s3h=G#2?uyFBDVSJ3@re2Hb?CTp+7`< z3nUvuQ(6lqndTj(>_SEfc67DFZf^o_?jr0)p*QNp#E?OgIsOfwn8N!dIGgrYw&I_o zYC^Lp5ik}pYVe5q&Gy^i2rN*pwGg)6_G+Bv`0)OU3TtK{2@a`QH8?+Oe4jl}l7)A`xr#mieweUl!QW==#3RHw zGaGItD5vy*!lO~4p}-V+CJEy5L)pJwfttT$7b=h)W{hd_6a9tP3oxCeV2ib7H$~~) ziotFnsyy}h!R*O`oRV2@`6f!mhXBbj8v*ehLqzF!@f!j1URmI7ELt%Ov}ubnf2sLLum?y}bwb>AwKSOU&Oh$N`<9CRdv`bHt*CN3R+@+s8(j$S zrt!M}(d_B#I%s3z4MsLMDTUtlj$sX@V7aOv!Tg-dPLlDmE#tkS%a!~O*>4mpgD&`R zsEm+$^omw4G}V9p!cUsTIzFwliLFJFwOWfkiR=6B63!`$tg+A8x3 zv**?RO1DvzUc3O!8fgY2R0f#hJ$;jUeL`Fw8NmB$o6e28;la{Nh!1P$Hf*Dh6|212 z&rZ%dHS{x{e8lplk$4A6Ys>0-st>0<_IJ8fem3uyt8rcy2^CV zVgxlqNwIEo^)I!uY_=AQk%!k%l1MrODG2Q-5~0VS&H@or7ft%D@IX7p!(?$vc}%u{NfI!DU` zJXfl6I0aderO%!MIgwfMfgfJi--{l|PU`zuH^S-Bv$f7b#85|y#Kwb_5yqL=@TG>B z(nHrFp=$IupYTGPmsw=pQ7ODqB$#sxvnH2J+5;QuMC52x4=6`*Nn3Po+cfCg#e&z0 zsUTSP?B6A4BxYwCJ3#6uqH5GjE^1B7+8m4(=seR=TFuL@YBmPYhav0G@*@)=;Lm}C zE+B@|O0K#LSt2y{kj^9cslKJgbY!gVL5FP7ug;l+;MPTT-l9mxRX=0ZQKnKX|G!=Z zHAQIJrlSmLi_JG(3|#5hmFTq&YoBAdG#!-85Z#Kc>1D)`lhUBFcnu{T`HDdWr%bYF zA&Mud90iPS%50oXsuFzn;#Oj1k0`KU^wp^g7iwb>5)5Jn*(VMj#VL9!l@(B0q+;Af zI9gdz8Mzp;FbMHd8%SCpv)N=DFH}1W%-CGg=tD!1 zI{!^RH`paSL{PE1!?f~a9MDQAKeVwggmKDn&kR;L@!mF7iuQdO4czJHJaQbRTF|7q z-rycxzNH7uC}bZ~B6%FXl0rf7chU(>GCnzp+(@{X7P!(gd@qrsFzXwTiQF?agJ8lU zUNW{`(mrZ8`-Re=NFIC#$v8L~Q<#R(0T?fAjDR9EdX^Lz6i#%>*){b9l#|XA31LgQnqfYSV=_??)4vqY=u)-^heaEv*R?9@z#FSCOZ`&d@R_2~ zgK~Ifjb7=>6lswoC~fvqO;ixo__O+rh4IxJO(;n}cI>`px-;V9ZjOt%;uGJ|HV+zg zQ4gp%mdSA%Kl1O~ncOaSEiS;##7yP`fRESxTmJ#H^jeNOpO3!fW6F7$FFtyT!mRDHDUxvC$L~-$i9BsY)MG-#q!{1$sF(U zM`}D3c>bZ8(-0U!U`nU{66%_(sJL2R4JO_UM1nK{v4kBJA36BUzCs**PQtI>P*O{* z{InX|pxiN7k+&Df^kv^g2tX=O1j9sk^P0?i9-li@(&P7x`rYT?73oo*OsI!(rDD^G zq6oe?CcG(1?T7N!VyvtAD&I6(zaesE%5H>n{CY{$k-=r^mGJ3DZqtx%<*6e zCWF>g{k#MXI$%KG=pqmT+?8&S!p-fPm7p`PUXVnpVCnQ~oN`%#>ymOuXQB)hUZOE2 z@MwLU9W>S+Ydn845YmLjtcZApOB~Xij`mEmCn)H~cJT}xqalq7bXt${mG?>6gwa*> za&k1VBw1_{UwSDL>}g8d#Ni@^1nGqa>EP0l3N?jmen6&)^wBmg9Ta|o3Du~ORL7%M z1POyV#=TK~_g$o%2fEY)V#H3zgrClO0%!rmL9~m;-1aGHJD1F;BUDS1_Kb@cHg4@* zc2rFIYJ0?5^CxYdJ=Ul1{Y~QO$4{Ic@-Jf!pTOyvatZbT)wHGtnUj(A9$5IH!C?6^ zKataLIdzBXZ^xfLj-(EiH~por$!`|#ju74$>E~xDDhZI=bM}@JRih^Z5SMT_O%;EM zUd2%bPHF@jMn{kL=_4z2Pr>x~vEV&=jbcQzu_G~#t~k3zUG5$^Vr4H$&9X0|L$d?k zcHGN~6&2$*L*ZbiH@7209u+${QO%Fhm}}R^a|Pnc*O^M!Bb6CI$aj|T?0C&q{Fi(6 zDk>~F@8(u@v%76dS_s9Ax>bUdl#FdW=skaKJ$c&h3SDgNeo(ZgiqXEBnUvSi{aa|` z3TOdkXwceB$rtq$OA2B)AE$dqA*>PR(r}(9v`pVK$i1AC4*lkJKS~T|E1$oouqTDwD~pd|i9TS5j!LsP=+ z^5$2n9;WKPTF0i=u}s!xwa%I!{~Yb|v_{0|_&uNI@vkf%crGEfLtFuj-OtWC>IsHO9q?4mESD#dIOEsO4t)_R|2}O zhzAQxw~ zlInkO&1DluQ(V!*-sDa;Q_NtygrOF(PaM7gS@2|2z&`SDngk>*)IGonldOSlHgZym z8On(0+Yn&VREuI#?F+E?`u;u~tvO^YR?k-HHsirc3b(967>0r=U>D&_nMStKh*0ke2an8cxg zlz`>2@4dcnq6GI9Eouwe0wr!vVFJ6{*g>_ZpWn0WjU5?{*i0+owim!XG8k3vbEKh8 zo*%W3AAk*J;PSGL@gTN=I(U=x*mx{ zG^=I&9I+Glch~bmXcz62GFHQXhsytL|GZB43U~v&04_2q)hacPGhQ3Ef?|(4?bRfW zc<*xKzEAT`ez85DA3pso3OEZT)XU8RID0Lx*D0+xv2D*Q3@)fy226H@d$W^U!IaE$(`@Zgd-d-MtK5vJ!KO=~}p?9cj;6BoP{Z%IXH?TrEVz<-! zQz)8N(LOh6?aiGDKp=3f8ev zDGTPDG6jD-@r5ubZ3s|4T@&7_R3r2JrrbM0*wf7OPc!pW0#DmnM46?SggV z2Bqf&S0!E*FD5L_m=-&6JotNmPD$B!)4p3)FN3}ivs4T#!brUo%YTJ=5BK1qK=&- zpjG;MCp!{){aH6B#`ztOZo>{Kr0V%NTYi{0cFhdG8gnpHXX zsv-@N=9g7{>%H>^THm1}IC?DjHHd^_pz6Wa&&p=BOR;2K+Ir<|3+l!2oPeF9W{8>H?}J3*bEs-L09VMkAeW7>01=!4Ojf%vTtC*3L>7ah~Mma zn=ry;Ur{jmwxp1QjQ7|NsmpVcX)sKvHfB@ce3BN(`qbKQoi<^mmA^n+g?%!e7v9~} zTQmn*BHf2T@>53w0D*Fe!Q`^;eW2BFTC3U1=+(n7eU7uTFh=mt!XJ=7htKWzTKzP< znFm5w0>i^l3D502qxgimSoUU-knweP}E6c7s>{z#x z!1sNabhSo_VKCvNZBwbvfsmmCPqYq92fH*U{#v{#;SA&IUM#Yw$;0+L7H5W;)>Mx+ z?A+fLne`M4X7x`G#PkUB?ZoIHmU7;?d_l!in6H>q?kkMq&UBNHe45(Wl~EDCmGvaq zidvrc+x}W#iL#o`!zYW}NZ(OwU54(JhocB#9BS3++2}$bItX`#IG=D;xIUs_k9o3k z$v1RJv|k0=g#F7fZ&b?$M%Drj|MSGJb<-!-F>LotBtR|y9pi60prfLtf`w(dKjPa) z9y;bGP4$^vj3zSFLHpBiWT02WmP~$cH%l*~ha)9R*s%jrvGyQ)_^8FQU8PZ% zlo62d!Gl_Q2;a*-1@1K~0DUfJ9Ot>k@3}t{kE%}sGdWi_j{+}uO~bykz47O#)`IIz1Lm{Jr%|8IX zVv+H_8emj3_3Xg<#dqb@ADOcQ%) zN@7Ew&195P;4Ia_8GI&oMt`+t16dttcvCd}&%Yn7nO5rC)I-`i59uK(?eJ4GR;Q&3 z(7&Dh1s!(_v9Y?7fbUpiZ8wwti4G>T6bV33pG*N)#zbkHqxxnediB+W>VIiwsrm__ z6moB5TdBe(`^B7BQzthyxa>PV{?UeBRrpsSdzpu&FzHYA2Jz>DZCTk*rGIG15S3k? zQcY*f@@_Dis@&I-8qpLvciwc?&w^)*0@CJe9T>iqX1kCCubOW{PiK)@RsKGkoW zg>lR+;r@{VnAP(ryo`B{vXGP{-HyECan(tS%H#TVyk*~Kif5ZdOff@j&!hB!li&|5aM!dK;)9CTHF z%Lz~QzAESdobe2SV;WP@eXmk&L1sh?94cjWp$r(1Ej$_j(#?(VUD^B1TRD)}7D zZma;5jtj)kBW3vRND$(YQURxKW)r2Cj$EkSr{3*6r$h?of-3P^{!!pHK<222dg>1j z{LSq5$6Dmc2m8m(R`l0P7q83>fa88g{9Bv+@FO&ll#9l4jfm?cI6S{&_ZtKg`=~vs zvaOgqQqAOi|pqp;)*INF@!UEFtZ9 zOV7(`+;DYu)|NysnL1(cCU3jNAbie}=1lbEAS9ENR^1hXanDebW><=2@DDZ6YA-Dz zYsrBLO;^;#I#bHSI&;d$ic3ZE%?LcD{GBfr>4Dwm`-#0EC;tnx3Q50kx<|_Z%q{l? zJi3eS4$Rs^zltLszXpN%pd&U(cge&7V+c(OJSS_WS~ZvOTFa*qg{hH=-+w4ON6kGA zA36eIAB5NyWhgJ1ia^{_Vw}0zbL8EAljTDW#x4Z>MD{CWYsDFG$LY;OqG-yK8%bi< z&>`|hK=9k(s@d#h1wKySG3V@brZKYg19MzKPDhKoTszbi-Xg6%{P!IHPB`FTKDnkQ z4Lju^l>3OnatFD3w*F7R-}+C$r%eo|P(2=WwCj1)@cd33>^K7NZFtz}TUdXy^Sv25 z{&XXhpLn|g_uz_lr)v4NoSiwaJB1iHlFJgtZY`ytLVjo7qU$&xtMlNJZe(6a+}2;3 zlAJJH!@rP&kjT;C)Q^Urp%aI`9A{RBj{Gs zkaA;>IviH$)n&cA-QtJ9Pt3A$m=paNujf&vw7qEBV#A?7Hd^A96z|tCH(phqvV4sk zF1OgtAO}Z}?Q|xtB4cO>hzAujL>Y5s4sa*VO#P|fj0)4NsP&ajB6uN4{#Vz>;>4q1 ztud^Fvnx-Mkg%I^nKWZx?=tO6cP)2N&$**}BSL<6%i`Ce^$<2t?YcvYCG2z)93l$h|K(j* zwo^>F&-dG1JF=crcN!ov?c!g^Y z$cRxr!8wM&Z!(R#bq?9ZJ)s>Il64327E~lbMAAI`_F8M#JlIS1bEEck(2Qx#{yd-Y z${20NZ;A$ebrGEH!_?y8K2|xVSnfCk>Yf928uNVVo8v8?eL|*;{t^IV-~wFn`RYvp znmPfGYybWBW{y8wxd2=8-)4<*ey4FJV|d@QT=>QRN{@0IsIJ=`ebLzY{*_~89+FGT z0SlRkDq*k?A2ORnMuVQ#q`^BBUkEWEbJj_&#Zp4|r1UWLH)JAlqnmpi9QrXhOzs}^ zqZT~0`Tb#Y;-er=1wdRmt0E801ZXRU6d;WTGJQHS;`&P?z-(B?; z#*CZ!{qmEd6TEwI^Wcs5@*4ruXY@E1M<^JC+M$6sP$`w+ofzJXq zLTZf@cwsp0I~L3~h8=zEh;>mmM@1q=ayv26DnTYw#()+3U#2jU1R0Ypn&DQN?)T`R z*K&<8)1v~FR=r8xpO%kU(Q>$j!`Tp(^RA(7`0h}jRbWD^vKH~Od3d(R>0V{S$i04R z+>IXzAH9gi+`ATX#sgO1cb-U^41*m+en73|I?Y+0 z#aEqdpsiq&yUGf8NtD=)e(p!H4dUuGcN7>#x44_iS$TU(ciEG$SiTOJO(pKCUUlAK zX5{exu}rOhQOg+1Vxv=~IgTP9n=9*W3+Nk8i74U|5`NiwzIvqRT&R)ET3Ll=Lzm8g zCIRMy$m8$;UG%@L8is?pc5U#LEEv3~?c{E>pZbEJB}KY2(xe%K5xa`Q(MZ-zL$J$m zLr^)JR(*&G`&Kl8DN<@}f40Sd`6Gkuu~`QI{#Ibd&z>6tUsAx%$N~)@ccDD3_>W^&UEQb$PN_nxwUq-2{|&D^EMX$5-l;5EVPmMCLs*U4j1&dz zc*jbCdRYFspCuVTZXYl!|I~k<-gyP96aC)t^}H>h0SIjDoC0o+);0mQhk(vbxYf5( z{jvYE@F!kIB<@Sq>JhQW){^w=q3Bm4vPwwA{|Ibj)elhiQdrPYH1Ir4m^d*;5t|Sv zLDr`*ny7@yVKv-neW|3x%la8^+SjQ1ZV(W&9zuxeIDzy2Ut#~;(*Lhr)us*I?K60T z8ok;b*rIvDF65pdoeVarAZ!6Q@+_MrBt!dego<~TA`;tFhY8POHlub_c7s1}(rf7r zn2WRanj{(`9I+wHmXx*r$>O-!B^wKd0Cix->&fqnvj@zNr7ytQ_VD+G9s!pW4!NJ* zFO*(!khXjkD34tyvPc_gB z#=vyD>JbppSm&u?=!aqBtWYKm#u$2@L3IYaWkXs662C1H+N5Z=!Ytp*k2#iOW7=GA z|6F>Nhd4UzUN#S`Iqj0M5jIYr;y3-^D(H_G&Q3f2tTM@jXkdI+xo#nkDX?)kA0ayC zo+%I|b>oK3;GmWj%WE&JIO0#^Kt_K*+>9YQRKArX`a35857xeb-MkdQ$=fjCLoa9f zV<+_n@b>k5jNwiSx~&KMC{2<_SFECxV*D+iV?sv*wZzO!5hZQTw0-azkzZPglA!>m zKt0Awj+0@7*(Hk0EAk-!01XCI{O5?k2K!@P8L3BHoZnWd4F;Ar>K`;aZy z7!*HyIROuB{qzL?-0nJrYfy%A8|N*yV2^;wYcH^n!_^zSYFGDL#rK?X*jp=eojqh{ zFtYp@FFkgGZhfm!D@lXxq}w`t-&Vb`(XayXsVMC_^yzT8%3#lUbn%M4M5N!GH<=g5 z_Ifs%Zyl`^$S6$Bui0^2*{Trc@zkEROfQN5Ll7sJS;_ zjeoG2vN%md;o<%WrZen*0;sG)zY#V;|Qr0}$tFhwubRGSq(%9vaykZLX0kflF_d`&IbPJh%dDs!I} zhM8vHZ6-9W;(p#EZ=RoBjehMY;XlNUKaoth{|0fG1dBYO8)-I5&sGa{=*?$jt$?GU zl+bW7e^2_;S0e`=0bk#hrw)o{S-EEr>~cUDrNyB<$1-F2ixotv;)lg#OvfHnFNnYQ zhU#c@KL(wrh!Gcvx5Lm|Y?~c#zbLi*lN*GSy`Im+&vXJ?26J&y@jM z#zqy%eQI{1_R&2;a~xt86ljKUx2^yy^H_D%n7T=bb?Mv8;R`n7<>s0R zhR0UHQWUCVbwVNniB=X)CCtdqHnV}!H(*Lun?8z+G#v}12djEk|M4)%cWf^euGnMj z*Bm#Tkdh7HkgRu$E+{kDz7dH*14>^}aT8#qp$+v5cGsKEk3E&&NpfITfr2h6r@!s} z@Mlym-gqJrdG&6nfDTwlW|B{k4i5KXfL(@k@pTx4rcfy$1|~(M2*ngU3C0)}C(hPL zTTZIlu{CSBS~ZR0PtM2?7}?FJxv{G9iZekRp*u4*VooFH&r))r;6T00D)Ne5<53lg zsGo!(U6oyQ?$DfIz93Bd;?(@>3%1NL8N|eZ;$_XGy$=K&u^-&*B;Un)?_vb33YGiU zd||X-v8$?*R5VaNSyp&}ylNeFwVsAGre?G2b=%-*yyAR9VuBBbL>Ghub#qjG_C3wJ zLUjNFHW_X4Wc8ml#SbJc@d&85e|$8SGMmI*a2g!z7?<1qbi^sjd4JU#RiP7=pAVVm zhh3|*(Y#0S(~_5c**Ty5%Mm393_imlf!$z!8lR`?$q>o^hv7#BGs_Z5Vsbb138(gg zrzEi{rA^3#I{skzfSiBcZ^vn$2V0@6j-JogrvrN*iEegdYj+n1sV5_Yw(Bu{;D3w? z%*F_9`WkH~BrQ*<7Rd=^H@p7CP;7I3Zq{s9# z&L%cwSlV*ZN=_GTPV*0eP>m^f(WRSfJ9a%mtresu)yY#`7LHe9#wDUf_K&7rn=5uk ztyHBdD4fNJ(@EqF1sU}icOt{0W=sf|*J$b~(RgJ1%r-u-P}y@%kW=aEwbZECkZ-~1 zSRqne!hq%vf`_FLshtnupHkO@0nfw@UW^CBn~F}P;4mjrQURjwNApAgUxdQ0yuw8edeCzBbSR;{&24Mpw0vZI?$ zs1BH&HkILXS>a}Ab~Iv4O@n8PzE0yFN8maNJutKKD^+{ctKA2K;3Xa7-KkV2S*Up& zRvM;2!ZmZGevfj|205|BB`QpphfMP=BQP}cTf&T}hrJc7!=p84=&^=7+o7f7;dyfO zKd+gRtt8WXKqR0oGkLy_6In!C$g8X4msc^shACC*v;KEAmV>$c_Z}vfG`4J^6bu2y5W31yoopJjk!A1p>6x@4soaT|+^= z-epCRNJ=&4{nrB%zY5O6Z>ajF*<*_(pPi>fjK8*D^Ir^o#(Bjtd8P;+=4;RJ5Ou+9 zQm8Za`?yWW42ZoJMXvm!C!w>S3Spl@>KVIuXQbHlQSKtR<(L}Dzqdd$s{u6%1hheA@^sY zolre=vrN$OhsVg`dfwm^3o6(AgNo0^1eLOf4k=X=!r~fBf(0XzxZgCU9n|7N2XGeT z?ArIIZpvk#I#hAL8q%j}n2OIhfqBX^@=R={;GyTd*=Yx+fE`mn^Q?~(ryvh4eyyzX z;0L*F)C9<40I|-e5--R~04&Z@C^(-_KVNSzU%!UWCLSJodwF;oG!~CDCg&?Pxc;82 zs<~EDrVsZh?tIDodZ~^UPu03?osi3?iP1tSPwXY@KZG0jsLoa}yQ#aMvGp4fPf;DO zo=E!LgYbya$*St!;l^z;{!pDm^WaB>|-FwV$+()78V6cSs`vK`{M;SVaaCuMde+!7xZX zyN!5|nz@S&3=;q9#CM5`=)h_EV$m>Grk+8c$t$Xvs`nr7BS)b1EY$^d7lPI~gtN2M z&D2Q#(HitG2edsy#8k7b5>eSN5$R;0i`x$*Ng8^nIVvcnNN08hE3DwH$;6=}c&Juv z_e0F#7ixdJ;sO3Egps8A*kuH1*~Sx@C%R%eCS6&MQ?33|0mj0{svsE9aKTna{=;Z7 zTm)+r)|C#Fl>TwR9qJdbWbi4x>?^N99%Z5V8YW;g3*jrD4`;wlXJ3pY>ByE&Px>r;L! z7j;r*i9XI5T8kp@yj8ob4uARw#{|@9^CXn*T~&7S2!-(9rb}3^4>y6BsxMUn=>0Pn zb*ec+!a5t!K)aeEOWDFO_>Jeul5v~nl(h~b8P&Gj(JQQ*fq;j_ToMH!ygTBb0a>7u;JSIUM&1KQ@Uv{5gsZATEX}4HYM`#Ma3>8IocY6+Rf@IEa#&@6l=s0-K=AKrxk@-g7@{N- z%qJ(*#OvW0a2PAA7((x>&G%pW{3e1z5SHpTo!bS&s*KcalXlDth*Z4B!qbnW{T#4d zfQoL1Q(W()9Nz3iRxSdw^no}zN^Cj@Ty7w*N-k3trZ(=JXsJj+zDGutYpvf<3 zY&ts|Z+y?a+WdNZZOyEn(pcDJuRiUrLqnb_EtV-atRbybP!1x>`Mjg&2g7g8R$l?-JNUuy4ejAvq@If1oE#xd-PcC&xa=h#%(XMGe2EJg z$f|1NBzy9IFnre^4F4l98(GPIJh%0LLc;BBz0F!K`*8c(g^6eF|Ht6}UlE@i7yn%R zkM-7v!($mP-#Op85+-)r&)rwI8erZ2wz{bU6etDt4p8xGw(^WA7sNYwJ{^({a(7d;U*s!n?rR84>_==<(GM3K51EGY@Qna_b-?dvET z%tEEp7-g4(enJAxj?_;qF^^9{wv|mc{i#Thg@c7s%40-^n6{GNdHhM$>EPkoh-z+b zKN!B5YJUDimY}4n!BO*n7`}GQT)cYr;U#BljA%Kevk+Dc*_&*nPiolVo60L|ZtjeD z;VbIhhadf=&%&xXSJJQw0W%2Kt6YCHTRh*Y zpH`g``*YHQ=0V+RdWl;Q|2hUN69dya1-xAQJ{g_2KdV6SoMN6ZEou zgH(JaPmdb6x~fQKwF)59C^ZI4u)r-Fn(Z#4#F-;ELpAH1m8oG`I4f?@$e>OMTeYgi z5w(q>rl}ZHdi)iAdtn-5o(2`rgF)AJT`?F2jxry;M#0?@9wsOIYBkgvmcno`YueCU zDo@Pf9}Az_bKbvT>UV_|?5^-&H)oNO>A3vxEs4B@b43}93NzU|Z!lQ~%O(#NE5_81V&i5^9BWiA0_%j-sffNpcp90^S zX!3*H#zb}%;oumY!aY8BtrMxqj*3>pz3UGbhD}6!!s$m+&Cl{8M_Re6H0E549-}tR zGqE!}96+&&ah7%^*2qOyi`$B4L!aghxTpxfAL1fS~YE0Vr{r@!llV6N?@Z)^EkqiwXBWIytEb+M1)F^=kcR#1SVEh9!W?6}7ahIE}7z8v>-fuc-O5W;u zVaTn7ki`(5t;&6Lzf;(iSJcTG)dxLP4ce4P3SMew*eQM9_Me~=MW=<{(sDfrh_OO$ z7r$)(RIuAH_(>x$R6PwOorry!snsUetDB+LR#ZKG-!}EwbFk3?%GvD9?XkTyhPUm? z=9U{#C_!tQEkT`;7Uqs24gT`G;4#xXa4-U;EF`1aXf-6>JB0ZH3=2bSzY5@vhUz#utCMBQs@4jyr9Slc~2HRn3w@541{G@vdt%|<2 zSOBz@inZd$1ZRCqf5v3d=mfZ)>OFj=v;t+`729$&4%3(Z>{jllbe^OheJYchfaXSF zl}}BD;qB+U+IAT#0+%}Q=nRAY?-IUNRZuf)d$)fHV$C*v$oM`L(;`~@Ax$Znl{g(s zvZTvg)b~%8NKO4 z9O@+popWdi4Le2&BxFB+qCZlT^ZSRYe#1%OL-B-J_@lAAQ2lXbP}!71Rm$%fvU#DMI`A6E}P zZA)r9y8de^RiPC0-kHp^D318^cY70EeF8>!F#aUr-doT?{BawU>2HJ_-kbMm)R!C7vfLIbb+hnK$1qtV&hOnCms z!f$)sDf+SSYnGFTG}yu4sy=nZpVRX`ijMw27XE{$x}+6Lj3PIPC1-i_60AN6Ezvt0 z;xSJxSBzgCw46xl3sMCH)CaCY(wp)|`cjQKu2{;**F=i`sPIW_*t;AFyp|Z76-bAw zHnGfb2}PaqXN%RLG_M>xjLti8>gPguGbsx4eH*_M&r^?4PZ|@?grKPzby*kEc}aNL z!J3YXuUbmSjkI*#^Xf1DdrT%v*9o^@?lKO_JN-uJEDcf@-;0$Me#$L^T!o)`x?7R$ z>5j?Z?@uo+nZljkZ&S@p@&(wj2Fv!#!lyLuOv~HshDMmF~U@FH7c>uiHg@#GRirEO_r(Ll1fqZtJe?$A3ExVWE5`~HYG~?gK zC%hDzZ%|V=FhZ!M1LPT-hgLOiyKIx#{GE`$dp1sA)Mf|a_?Q@MxthZd<_)TpS* z&pW;R8cQOXD49^SYfN}Re{6+ojdM-z?r{m@P$7(X97F3t>5^E?RuS>iDgRg5snPiPYSjo4bXP`(NGv{02c zE}&D==EsPjLL9vJc=bF(ZoAX$dOWcB7`n`L&YJFuIoZ9pU3ctK|D(=3=4My4H`?_r zjw8QfiVbmLS9DZp)m@(8m7^ZHnXUV@z0-tTO8M(r&UJYn75ByUm$BEp{qGvYMA&EO zeVwRK11=VJdVLfODtsLVnMxipUyJ$e{-dD775n)O%pVM&1HV~SGIm`ktMudu1v0Ev zF2{zm-6|mE0uA$I{C*9}M~-8LfO=4NOvnNmc`xK_R@v840nHK^hRJx7SbdNB%^ zY-3iFQs@P^Dh}|{|K6X5|A=|Y7Nnn4q-uk9eX$aM{$MF><(6#2ZX^PQwKm@n{dXk3 zN(pr-wX!7(bEss6Y!td3Z&lC>CL?%T|t7_Z_4$0x#e&SgRZL-ngxM4-%uRTn3h0b2_? zvtelV4moi(AiSJNHNDAIchb=)H3K5c8)hd})inm=*0RhR8oy(+_-UR&XPJYa7i2L` z_k&m-v8|8biLWRXS+>2i|J4>@SSm5(JE~=MS5NmUX-J`8&7`+ye6&_+OlzROzP$dd zkGQ&B;?+A4*k$l+#6pTX5V$1Z-P&^hdEW5zc+^J#90?VEfByN}DtSfRIK;nf&EiD* z`E2bAHc_Wq>Ip6S-RN+Eb6OO;C2Z6DX_d*D0g;}WcO!we{cJL0zP{^>9QU7{U4?{ zL_<8Y(M{1x>(ec(R!iH6h~uz4;hKGnH4?%N!8ByLDcQ{Z9|p8?<9o0YzWw9>%bY%C zZ*2Sz#OdEQyji>czMj5mY?}pSKx~$fkr>X>)GL?a9OjjM?$Oev5e|IIAAqcWaqyE7 zD;!ny-@CmVEVOwHKlmREis*T;KY&8-8V@~0?Y!O&uxU01s7$UZcU*P*Ud%>-zh9Lb zR&E;}L!Bkj`$k2UY)_4rJ&NiOaWGOp9?2MZD@kvkO$>Y?&wTXW8SS7wLq(Lj=n8RI zU}HM2bvc8{~Z=Pb1nhOo=zP4^;YqbW>F#<<(*gOq-K!c z4(*qJhRIDV+D)G}_vmraO(K7G-K{cYZ?R>99RJksgRZ0x5XLa+&c^9NvvXFKYm2T(zwB*e0! zRULZ&K3m9{j)iOmEoLKDVyo1d`KCz&v$=uD1g2r)6REU$BP}f#s@W7^s3BCNGxOv%LUk7P7}Z9R}0=2kB^sts#FQ!Xr&L+7{Bi2&NNdR=@PoG085nLp*Mo#S_5Ta@gK`bqY z07=j@gj}tb1L>|uc3FjY(M&I!3;XG>)70fE-X;RGEtJ>! zt1ss6T07lb>9zBy>pHCeqJmv-?za!RL!Nbddzhum;kEFzE8Nr(9a>!Vs0_*n1nym! zXDo^hz2ZtmGhp^jz#iqkvfw`sALl;~zv_x{?g?Al{Dl|Y)`6+v4Bg851B{O`j#RF)aKKJS!DG%-1W8NhQmWKay z2rnZAyMkvSbuTa+UBI&0%U`qHja!u-aUDmHon=nWa8=j9tpuvE$JfQ7&iNwDh0YH| zL_$qzO|U?)lcbCUnMye8`U3O9fO))S9W&;{j*RY38P_cv24mXU%@5n6w~m)!ie60~ z)XG8Bs!)g79u!7Y?yR8kKMr3;z4t#3Kj}D>?T5qXQfw#8#qGs}Z*^sLl`s3+cq(l_ zJ6Gg6-E3&q=5ae*@e12zkIpED+KArJi{6+@ldGmuWtS<|c#4FqZ=W~&h< z!-8a2zRmQ{bdZ%IPm2mFCWbBXNyRvUnt!3Yh>xduPpy)YiI%zl=`Gzh{|Dl`Aw37Y zR{VhYv!yGtx6~IGX|TUHHGKvD2jZU}-o}))&_6OatzegAUV2oz=KDlRIhd?58n|KP zNTyJAfYzg+bJMTuTx`#}g{YDW3v-dJU!d@DxY`tICH4*b5!S4$)^O9#j*$Fwey z){{K`bfl%r2=3|T15Z50ByQ&TkP=7l4q_qS`a5?^11y*ej420!%@eI=H{cZRWGVi%<;V64V28 znXtWk6JTEI;ka2*Lj{SUQIq|(S|2l-Fc&kMbV`+$_R-C*UjVC4n>W`5+XlUy9RccF z&)d_xK`=z=LBD1vWSkB-Ve_9btZwsJG@j5vz9N!a#6+8jg&^V8;ODrJ_SJ}> z{MdTYsIKC>)Ucl`T5ZZJlg4aIfa{^`F`zacF#NvOh!1yvi-9pkn?7pg|XVw-q#i>M6i#&_9Rlj za-a4p!`jNls`iWSXLNm0QdE1zd9(W2mgyoF4wQ{w4Xff9I=8%KbjxaJNwmWxz_Nb5 zDdCHB#0g>`+9p}r*b7n+No+b)A#1RQ@bhm?;d<*{7X?+uT#+_{>1J{n=%7X0dXo0m zSEWdxtsPM|m1*=)-0FZ&Y7DXLSVUAD1#eisvnRJ;+#P24u0Qe%(zOiqZz8}xTALYujp`_U3~(qmH1#=I&CY;01Z$xKk+ok+s@`jmYRfEPl|50x``Y zT+Ml^6r6fJ&qB3}-FwXtWMzH~niGdI*sFTCzx$)TAlL%saePT%YYWyNhL*wXl07o{ ze}^efHY_h8+PZy)d1T*be+?y4iyGcZDS9q=Ra9jo z^5i01Kz5{5dD{1Ioo`UfX|pr_e*TD#7^wPxD!#y7<08O9eJG+W=4EF76Y+yl|5EMC z9|7T+c@#vI4R7bvGQP007X&$_2EFe4Bu}EIV4me|?Sg)nyfb%4vgnzyK^yZ$T5a3TGrK!;0bc3H{e7vIMBJ2#cmzz43jkOcvK~aMv zWwrRjRz012SQ^loQ^%fSZSSmfsigV*~4?tr#=EqN%&)?lY32>&LOGI=-Y{5Lkc=Vjy=FK;ZWqOBB`lk;ksEf`Kkht+B zR2QWb&D_Z>*F{A25=u1d?N}B-M&bz- z_36P1&Tl2IL5OW{QX%sC0HQRN*`x7q7<_N#^e?}0vHZ)(8>sE`Jx>a&e(y4fDH&^GFe-IjWF*eg=DKgE#b6Kk z&MUI7*(DPKiELaK21kDZRgfHzEyjc)2IMP$xvZ&cvx&Wg7(vb*2Qu>>dCo;3-Vll? zwhrgd>$uHE@}j|aUQZwf?1jux840@(?SmR|7JJGT<}dFYW{ZpjAyP`Po05lhybhYI z7D0V*Gi5`k5+2hTTOltDksoP9UdQvdzjNyt!S+0QY73?+RS((j*!;i!>(V6k;(I!3=e2eX}V=z%lBIs9W{6ePN(li zM8d=o%AwF5Swjdlz{-=P{?nKw4GGq(Vju^B^*JhWH{<~+x$FSrD+0N4(F*~Y5vgGg z(L&U^tmOSekP>A8Y4jPUGy>nl-BbX>pPTgat%XnpKXTQBhC|x93L{`W7>JQlo;`4J z6Gb65i1x|Y7;y=wiMw@02Cttk0`TsCk}%93WY|0~lc%`zi#P^xNaAAIlM#w-1)lVT zT=FRz&LRX9aG=!Mp;T=oBh>lvics<8`7cg+jRXe{Mh~lwH4l6f%n}O~7o5gpcy=34 zj)|Ir3()A`YZYv>uw6+5;}49mLCj034dEKcPGq31g`)cd5~kA@?F4B`*>%9_{h_?Z zUq%Ci6a4{_$9G++U40D&_qCN-E&YALZ#fO@5bg_He~pM{f>5(L%!Fp}UpUDL>nl29 zww1#ycNww@5*koabW_jhPs4FQ9xF44=K^>m!hES^AD?)KKevZ@O+Z=Q_foR{!Y9oK zE4AdPXs%6Ge5_hpf}uknuLjOG&TnOr`J|usz#L726r5|GPFT$${>FgDbx+c~#u(Kg z3vv7W0m>FI4uK=3Emq1~Pn2*lA{Gp8z!*bTIz`u8C)-4St&zbs!DFz{9%_ZE;-5O_ zGqHX<2!Uo-90`IKAw?t+>N3zn)E#NJg1MmE(n?|)9))d#%qs@l3OSl#)R(z8ipjJt zkOsOxxXK8%_YV|X^WTo6Q@CWh8nmL#pUPTo4oa{gpEg&!Sgx-%AbQip6!-}GSdMe_ zkfmogykjIi5a98Ka^xqOs)zqbTiIex(*px9yiG#49dcsxRy5ixtC7_s*%afH6M+OK zL7zWKJTW^e7Z_itj)0M|TqC`sb2GY{+OtjM=wxt7GmJe6$hr*p*kmmn3ss_ItFL^d zwFWRCM|By0mF@?q_ewQ8WshxpD)%03pk|%z6 z2-yf)KT^?F=Cz-|$BodQFi=-`gPHqZ>^sl-ddWORAed0$e1@}M_r5!}-Nrh5O*QMG zWk3T+UCA@b3A|=eg+{|O_Nu3}0LSUE+O*mcebY(el+ z>W%ibD`GO?nq>G#B<-byZTGJ=WMzUY@s=rVLoaz-DoC?$wKlvE-qbP%sGR9I`afb$ zHP8HQkJhc<1ulKGAAzcHa11k#%m2Qc>;`Bi5fN1OL&&-Cpin=nC)QC;ib_?aeo~J! zL_2Emmf{0e5^YXUQVIS#c>=I_5=r49=hD|_#iDJycscl43C z%0gcqQP*CfkSaapU{+Wz>e10mMs9Z&st($NQobzUsm5U|0d|})hd#MhXJdF z$g}M~ajur3rc53?EFRvc#W#fDnSr-MFFv0^fLKo&=|dQWkR4ZS1%m3j_4gIxsTO^f zNSV7>&Tg8ofjK*Zng3rDfBgr=zg?a*MZl5e z2}V!VXV+CWwctvTEW!}VjZTmAS>jdC^=F3q_K1tOR+d|hscY(Q z{c!p5OyP0F0{!I~3WK3cH`HtWA&oF_76%gwCca>eJjkO9iSG<%UWDw_)o=u z^{9UjPUACruD?JRHsFMee`q+St)HK_6+;-;J|5t2{u2}&cbRwR)sTX1v#I>CV{ zC#yd)+2!*)|Cn+POgHC06kqZ`6kpFI&6WHqU%6Amn+FMJ1vIkpxV6c-o*ELL(x?_1 z{9--H9V-l-0m)LhL(dN;xz%zx$sbXb_KRmL0wlWDr#U{F^aSC{AmmFJF)Z)NZLv)Z zsWtq@66&HygFq>%KAgoym(j|1!#G4UA<W_*q zOiQ9cz~w#pWaVs1&WI^(0S;SQZeah%Pt@I(2x>}__hn61)H(<;IPH6u@E1rpO6oxf z2d$c64EYIXzX}S%NEC8M^nSW&>JMHvkjH(-HC;l6$*QRQr!QB56&K6lN%soz<apV-7x+xW{8ljlvv` z(x?+<-k4sQtK|F%Oe*sDskks&|GJ!KJX5T&|D99bVikKgd!)`DQY;Y}j{)L;+o`%V zPxn4K>(x#__WJdU75OI$r0ml+e3`3~2UVO*siL}9ed}9wq<6E6;6mrU z$er-dOOx362c<^iw8zr-=??F6B9qi$I4!4zGEv&`L&|7aBK$om@u<4>YhN%dkomuz zepLL0|5SWz(YzPm=TWL7lsBh${tKGOj^(Pbomi{yq1FS-83c_znmnmkq` zEmOfc^-vfvrt6abRD5`_>i<-HCTGPw=u}5pOYro267)JgGT@i#kmiTs-fpcq>k#$UvW@!sW_$!9cR1EiiswmO_?bR{Y!a~CKKyt*I0_dc4?n?Hb|+V7f#nt{D(?#KW?W1ux9G>gp}sFi4yQD-Qzzy)jE)W=bZTD_l_Evfpa8Q zS6EEKdLp#77=VQcfc9^WHd5n7=lt7PX7RKkf5Ph?m;K&rcz49Gtk>GOJI&JF?p);O zh1nVEl}MZgx&wWN*u;z6cx9W>GWWvFHT;44C!7CV{5boI9Yy`E>X@Gr=G*r+tos)s z9OADF0CTSNIV8S&dnUTE17>&O3i7u2pEQ8ans?ClJX5A5D~oyZHq3k1D}k3pBh!1h z{sIZK7~P%R4~QZhQ4CG)MdI^S_GcEg$j+36xGii~H-B{ziu}-||JgTlH+kltr8`v< z8!>#1)bnphtfbWw*TgU`IRw? zPE5y3O__xkGN?el;>Ga_Yz8Vq`=4-hqR;-R7?qOy$GClcf&P6Vp=!|dJMfRR=J}kN zlL4eC0um3y&`nY&oQ>FG-4;9N7}%yQl6g&p8KE2O7~pLv^0dJ`bK`y+FzYzXEKVdsTF(iKL(~vg6m6qpFjg6^NsYCkj;&yfNB%;6xe?lQ2S_h3N z6w*$#{?kWC$)OtaYFHpUm zq6N8kwd+~R=VYR7nTnxIVh~T?#eRC{MMi@p9wv9=TwZ^82ZI8@k@UnJvB*Q1f8$Z* z+?*m7`uanO4FaJi6uuiLfT_RP(qND?vXGDEI*3mENdtB5=aK{-2%t|iY^o%R3ohg& zk+KJYKS>AhAV2{7;9k9n06qwW{V|lj9mJA3Oni24-bL!s;)>OpJR4FgP4DPP!%IjU z`82os>?n05(+BJ&p;g>|A^G~_VsK(bO^$BvD}8D*JkES>R<}hTsFfP<@5P+|(D+uM zs)wwxb@}`R%2`-x1>e zJ@MzklzGZf8xTCnAP)v`8J-P&O)%l{bPXS|~zWR)`>HlS2;G*1pP>@fjf6gD2m zI2hC+9dkuxVN(MQ291{IgO1IPP;1i3EuGh2>Chr+T)MKiVz2s6!W`Sm7ch;FM&WBQh zT|}FD(SCwG-%5U^EQr}ON#;t43h^(P&zQ&9OMEFz)3me_s7>sHmzWJqPK`#-)stc5 zE{Jw=1<^;Av@ub;>q0|7D>t}Xfze?Ug1BKLEtwwElKzyea~XzN%J>CKTarX&VOuTC zI#5SdFMryV}hhbBXal$@E$(>PVcF)AtsX~>T|D88I~SzAz2~bo?KKCtE2Du+o@KN}6hl_Cxha{E^b0CM+7qHnY~Mtz z>MPTFB{d`;?%4y@-Q!^DQDtYSNfdfvrp!9_j2o4LRv8o4Q8pP1FsqOmbJn!(88bL) zrvI?3E8Ba-v_QY^FwEo*5LrT9uQtt4{2Q8wsgln3*R3j7=rl@e$_-o3Dr+}cLgl8m zOfW8p`6p$6H7zk^U4;*NylXVpQoF(61h|YA*Sd)jH5YRzH6$O#~u3W%7UYZODN!tBQ( z-}O~glU{!!u`2hw3C>kUti|M$IrAZW6lCt!-;~yS=|-9u&74bwdHx_GZ@#+_n^e8q zf#mXpuhT!A>`6|b|O67JvZqtOKGKk5t7|%tHR{CAUNSaJ?qSU+P5$u z-m<|}X_d0s?yr)m_#i5?Z(5G}>#DH)HrYUS4HJ}SIDnS%mjx%qQ34yf*+7?Vj+s`x z+Wqi8aiwd&8RTQy2`vt34XzB=Mw@ zlpvCVk4&WGzD69iO&^J|1=!Y3pdW_t2ra=glTp<+Sss!IJ?rfb5s7Cf|3xgU$d^H& zWLlDU1p}cY4Q(FXL__WX9Z4sQD`y3l8q)3bi&ugZS1#-a#SDt49TeL}9) zXDt(V@NDSVgh*a6A@;J3FTH$PSUszuP6;!Y7oo_TjLCh&f4L{WEZF><1QYuLRbp+S zFF0-(FF71S_`i;wB%yPYcDfQgI=`|?1v769Q!*Jj{oY?NJ(+BU6dv_mCi)a1{ToU8 z>Hogr@M(mC4XnL6la#5XuL;JcE{Jg0ZZA*u1{mZAlLMW0Vh+!G#Y$c}pBj|R0Eh@Dvmjn-VBbmr9 zeG9`Z8(amdwY(+CsmL8~F5zQ6rqZHFK5G@vo={dnYAN_-O{u~~5GPz_eVImF0V(GG zd`)Kab#Dd-Y4#zb&r==?BGMQn{;2h_;}2;cYxKS&m7xh?5#; zm7AD|rrZ3m##?Gog|A@*RKu!uJkt`ev_h~$S^)vhWRZpm_IR}4dXYOxv)D6J@ zXDAB~F0Bn!10}Fb(>w7KYhCDsjpE*4KwJuoT;?TK(<_nqI4P7l!O zo$}P%*{KDHyQ{M5pHRaTR~fiRHfHU5`5Zod^Kf@>>lN_)Hh#S~bij)p`>y=@;%mUY z?#1chUftN)!iU&Fd@<;P8vAwxpnGx=Grs6U%ouceh#?^8KdJTwI)-$0?`>}zx^u2> zb#C%uUG@Cr_CFY3+zc*zeVRW$0iIdt{-ve9UppI5gg>l>|I2Wculv_c_vR%a?tbwN zV6e+Yn@G(aAr)`I76H<7x3v0dP3OMB$Y;ZfgBoUE$SH0@0?vme{YE@!CEc)%>XKQg zG18fOB=6zf0QaWeuEas z7yRXS0+dDszwaIz8*wYBz6sf=Re&STSMnT*8L6D1WkCE%`CsnAAhkXjt5KH>+{Boi z8O-6f7uGR@?RLgOi`u`&QS~^FHDvw<0q4j8>CG`y}2Jwu62B z4I^*&;3&1}))}_ZpoExj(dKjhFe_^p8c6hS=B+PadiTv}L*R!)C-6jLCCITRUK1yd zLZ}6a?p`FT?#KDmJ0qf|ig@RuCq8!MQ7o42JE%@`a`4gE*xe#9P1l8n;4%)9+8_pI z#f%1CRagL_AUuRxbujm0Y;D%a&k3%KXqP?@ekOBLg^5EiX?4pCk>C5hBgk$kUx1pE zKC=(NLmK1Os{UxH=%6h8kc#1Xh$7wR@E4zh}{kzlM~P9uwSt ztL`eUZMwsm1n8_IG=d;>p9isI=2=ey^*#^TkdT09OW&Je$E=FzNV@Ece@7?A`{-83 z@Iz)D9-7pEvGRHDS3JQA1Pt<$oTASLPkTTjAF~9FaJC&^+-2|{2!QUNX+gSjwyxOq zEL-KI8I$EoMu0#98_|(+Ah(-cO9+;IQp`MrsSCLm!MO!&jzYS3HRxR|xB@iFQ|n$E z5~=EB0p5xcfDQqldM<##c;gy?;{)*i?C(Md-M8iUL~((4N?_Yb|8~EkpAz@d^x*%d zek|5j$v)fg0oXc;{hHZk5J0hi1(5RE-2vi67mHcmNKXjrX47SDp@2oi>L8aJWg&k( za{{ntXf6OX%RoP{Rhs?hzwW($^Dlw%-!HRqxX4bVBsGROjyt67y z)3gmF5tA88ETmdwaEM+CLvhaST=mV;@L^g(E`N8ubbpqHj0?y8731_v_!70tqlQ>@BP2KzXJwOX+}JgF^RE>9RMi;kI{^5 z85Ih_Y2N2qbp~xT1&$%#*au^3Zy-~cpwldt!ihcsccw&0cwpGj8IsTilZ148#<<;u zT&9Y^66jSj)D^Q4{H}ghmH?hk-;^ah=fpVXsGvcTzhkY3Ch`qn|40BwP<$Vc(EVAq zfi3rJ;yUYFwM8s)|9S{yZsB?A1B8k&`SnUKHF1=@?6WbVDJkm?g&&V5SR+tR&Laei zi-%YI#l>@2Baj?Pr&QmXvYqebPome}f@9YZ{x@=8#pdU;TSzwE3|pKX ziIYS0*OR%I;C{{`SyXCtClgERTOL}k+jTwDP=OnRqGb^ zumtpQ4@pWoc!0P1P(8`vdqp`zApZ(Nj1thU^PwY3H-~ILZaR2i5RJ00nJ9q^8-f%a45Zz~6SekP#wF#(9c&x6LI zd`bY=*_lTrS^HjA*ZK}_!a<|euXPr<>ip4dQdG4 zgp$t^S12p{ogJdYOqk!wbT+~9PaIn4^A4l5urr)0wvPDUB|ZEY6ube#pJfW3I=Ihe zYN9Renh%(cG(g283SI{*{+qxYX@-{m_+W*kx36MnOcnUm4& zQ)T4JqgELF^&t}i4pViEM^BMNK_WkzbRw9PAL0#|X!j8iToKJa{<3MHQV4*WQw4J( z$X^icMZ>34qZ4MrR8Q;aLgz4ae8p?}npUPFaglkYA>D{M@&E++_XL0_wlGmaO zfj4N?`M3)FDh$UNaqp+2ySQd7xPiJg@Q{bBar5@L8gSGVJmCK@bq_F-cG0?kyQe*E zOxw0?+nly-+vc=w+qP|YPusS6YyNvr?nx?@N-C*TC6)bsd#|vs{~(R6KGE-cbh$1Q)8}^?gx+YE<1f@~6W%(G5J(YD zvkv+t<+@y~53;F=rcUD~ClE;_bG#Xn=tVUPD?^r@iQIU;xD@2%oQhukDT1L7pS9I#*OLG`66^w? ziAR!RN^lOUw6~L&Pp)gEi{jbsZC`dV)8p$ueAu^1QPXl;nbeO`r}-LT5>5?O)N4$yLxT1|vxdWy`D6<*?NLT1s5SV@_+0y*~I02uMK+f;Lja z9K+HVeovjyPqs->=wu=qKvx38pM!PjmD>#fawNEo&iZhOyo5L;{sQW5lYtUyVWO3{ zSBQ)xgbYrkJ0Z+6VJG&HE52T22WJ=H=qCN4o{j*=nPVJemI5){hQE&zP4EJbS7SAY zc7{;PhE5Q_A&emt6bjnP3llr@&Lk*;^r?=35==by%50>ibpaW-cm3K|O?rAC1ia&;e5DzLX!7IukmiU)Q zQ95ed3-OjkH_ANFuxKlx1Ncw$AmR}Pm~1dIJciJp)5I{K(HaX*@7#w;7GH42G%WFr zWp_u=Po`e7Wjh{u5Py{mYIg#(NT4XBYw~mopk#R%&Pma4)$bD(SgcH#a=?js3%DbX zNTp4HsH!wFL&h;!Ev6SZLABye%QlrvuUuh4*?S|R^fZ$nhQz%smkC_Cze63P7MP~V zUg!LzSZV%aGw8B=oc6x2yH@`W`ub#wWqz-G^pqh76De><1j>Y>}M8T&fn^rI; zJ^pa&PovDr-FKe~3*%nzry4)WVwHiA&dX;&%F_A)^=KKw;AVww&{lRbDM_Zk`4&1rnb0aFkK z>fXt}jvA8}D<_&rei?{AO+F{ma;FZc{lbW}Ew1!`sSOh=m7G6c!_6dKT;}=BfbJ~S zSj&_RmiK{{*I(9`{*d#_2Nehxu{ZLFyI*h})}5FInU9KM3USp-;-%d?uW<4i+8*t2 z@|Zva{s|)e;}D{c&+>RIk1w%?L8_quooSeZ3pMU<1aYh_v#`$lYSfj>$D24I)oIPK z%b|4DcluYR!2Ejk=I*B((U=lSggHI7sz&E5b#d`n52u;X_Bv41H2JXTB`J&TAZc+F)Hg08E|1 zWy?;LSoBT2&3iTQC)N9l*4^Izg=7nO&-mJwsO=0%O|$m7)HTKGoOS5O;*(I)Rhs;4 zIi`s@!<8Zn=m>QSG`EsP%VV^P~TZ5$MFBar2y#zaJL8+7tYmj#_=Kt_dE4 z9YgoMglj-7T?fB8&VlT}{Hp1|C$#rl{S$#*W-m2cbzs+SdA5ECBF^d<$K|H2c-GLY zzSfYbP@|c{b;I~-4>PvsjCsI8qJuB|c#tHsqn)GXZ;A*Ayi8!2^PF-KpwP&IoxyQ-zBcv_PEPK(HOS<-Ns@7m@2A~^y}O6i$^#mlnlpKK zo`t?0^VqmqZIurkKAfG@wOzCqznee9;~E9;Z2YuY-9y)w_4Ls?@D}k09sh|MD4J~7 zsN+vh!v91K?_Ts*6`?usLo?&O>;x;xGr|Zbe9QQa8`y7!()AcIrkMDDsD^Mpl!vhq zjHm-M`KTCkE_t*fV_#etHloaIPl3R1x$hIcukk-l<7%X4^B0Y2#bbBAX$-Gum1+xuw9>23ETn(glE z^ZWR7#C0Z0RU}RG;MT>E^YA)=@Hp^x(lZ1+A+TF*VfB20jSNlA6wk-Y(c|`h%-wK6 z(R^XnO-P7922%{#X!%zN^7ytn_a<#NF5BY`vzF%ZYDAXd^V!BwC>~wRw4ATi6^^Fi zbr5-v;Io5apiYsmZAF6X!_mXRrm+&;aoTF=^|Fd0u9yhlmV#5YlaZnTI0SDI8MBik z-?4kd^p>txz9XV@|MRy*hyY3-IeSZ79%?=@jl;vtH%#xe8~p3+7cLX?>Sq=_rD7)9 z=S)dXZZFzHO@vq(o3Bckl>bi86Ya(+%%a0j2ZB^3{l!6ZPCMSErHZOK3cWEM7QL~p z`(-~876RF)5K5D=mNNoBZ?om_Oi0*jq^{wQ}4J z&{rvqx^gr$%RF9Y{~i`e?sH1^D}`_;n||;|>&0)ZlRmUxudCH))T9nL3t7r6$h1a@ zRU~?}Lrb2ky()qPp|u6A4wl?Aldfmq3d(vF=u|}e*vHG8Gwd*-dKO!Z7A@rFbC=Wg z0jCv>=2(MuMTJQT&oy7_l~0Ty1aPK_j*Z}cR0yp7LXU)?Fp=I8jfqV$1-ngNRuDh= zS&JlNI+ct|%>Kuwu88^IP7=w1o#6HvE-c>tM z1DzHwQ3G4OO(JXdX0!s%!dwOxkR!OEFv_&T~@S z=?M&&<`DC3v7MdSl<^&sbjc&*=JXPE+V6+4gDw0IQ$ zr7I$2Myv@6zfPaXXkXxKL_J{dOg~;Gx^iX$Hj8X?l6mk6`Qy*0^d5(})5m$#pkvOI zi{xv~9_n~c>dr&6jtGV1@97lT4#-k|mGc?|uO< zv$8|c55=V@J-ccYg>&OCQ8|F2L4_rnvrs!@G|0+?kYQ)j3Uz_>hd)xam3@i!um8$z zhRVr81jfpe!zA{Pf>ci2^c+};G~`nCU!^ZN-V@s!uE3Si21{wP7a*NJ9zz`(#8InV z{MmD`wjz>Ez*XYaqVk}0C1oYZ_~BSq*1nN0v%!sBUHBg<*YDM6-yjZ?;2~u{>kJsf zd1GQ<_~<})-!Y+7H+#C1vMyzt>cPlz3%n|%hN6vd{-S&?D*sqUacv66K>>+p2bIho zUS*GdB*9O!tK+fC&p%xaqdG? z_oc>efEhA(_pi@gH2kf1j|w)ddrlgJ-AscpJWBeH(9pQHDh7PzWH$}=Y;MKs@uw>$ z-}4<$8K#oA22S1c$-2z{1PyoX>PG5}zGiAg(@;-pR+T<|5emZsg@EJgH@tO<`wq9{ z6TrU%M*BVI^K&x=>vP7CJ33996-t}+XVxzvAJrvNr?dM{wqEs1@A6I$81Z;h_L#4P zAlnlsOcJGiB-=7Hu#EwG4NT$fpNqwX79kv_IijZOTRPWrJwciUJ4p)@snn zm$ewvqcM~v-#2KEyZm_zshqmX&QYR5}#QbgVCF*XazO36}I@3%z3XS6!Sy4B*Qrl)5AL zP#dM%0&`D{62GmosRc`k$*5mrPoCkAmQ}tORx?hvCw0(0_vIcFhoiPUtk-pPmeeFM zRHTEE@n&rfcmbRttCW0mMnK*BMx3TLAW58#7xEM@IB<9!KYj{bVyo*& zcL>(ABN4$XJfCV1gY1(J{zv5Mnz`)2m^MMcnByuvba%|IM1h zDE|)lbsu6EUzwWaSvj^iKChxnj7YtUp4KuFchky5mbx@h{H>PeV|{e_H;O}Q@y2hX z3$UKx8o!`3i|y-4t%nqp99im-g}Y1&FbYkb=<_!^#@-XA=GT7 z_bHfCg};H8>ghL?&U|grg}L2?R2{SBuEI_Bz*?&2Vmetdh(2H8XlN z$9sHpsDh=@TDlCCo4-e8HhX6zU>N@h4JRZtjJ{EQVSU6~7ZK3gOtu*a|2zgL`s1po z>2Qn}?AUB!VmOf0xj^!1Q)Y;vo$Yr=(l4@NOnPsWkIMY}=mF;hq6&fvgwKPq`Q&Qh zJG|!q1dXz!A4O!t{Ol30X9(BdVHg|(E@!yC7Z7U%w-uD3E9VI1okeG4rj*k_t(GHe zr8MknjNIbf%#poCns zZ)Ey_Fo!cH7gR+_kBvk!#|*|8kr85FJSm{5xt*@&swNGU^Gv|Hl!08tUq1BY*{||U z`|9Ov93r$He6+mpiI99P^i7~;+;1Cl1D=jPp4ne>SSEnx2xRubKJpwm9o*%{_>2|m zj_*F>R32{H4GI%d#5uq~lb|HU`O)`B5@{G8^ExWgp|h7mwFvF>cI)w?0rhL&aL5T4 z!IL1U2`nlIr*Olcc$#vt^GO9B2#qenz}53-fi}{v2j3Lh$q(CZ$v5ADobTIR06;_R zAE1Gi+@qRY+pn$c+|n??R2bgv4G3!Fo+xG!tI%Y7vByr!?q9PiX@aTGY}91deL{2ntAj(ED@1@zgTR>Ehu@@c&nTS zM+vTh{{u99ittM^D%SVjqS|W!VRc35Wfk+&*>|3J(O3W zfsi*RMrlpOpB`eOs3+3J1hHk0&WmSUo+)DLtg3*{*zbg$Xpa%R_bLM^c8yjB=H+02nH8MQ)>fzd2pR z?Yy)_+hk5^{iy($Rl>m%4u$+Atv=2 zeGQ{BuAA8R>F~g9!x@RG8|9+|%SiH!W8fUF@$Vur?@;7kq*s7`y;DT>4Y-2N{p$~C z``#S=hIhrNy_f6B1Juv%6AA_<5)8kT%2r1}jg4e&#mT8x?qJBMk%oO5Sq(x%{iNf= zrrcc1QzqVYeg`E6%j1sfDbJV6v+Lhvem(-TFQ?k%MIE8?WKo0Sf9uW%#1&d3@qDkvXeRfQaDa$IGJyP z(Nd`)RMTie=UJvd2w5 z{QKtP6~JdG;C72!koc)#LFo|zZz%jAmvOhO>dlPSxJ3eX6$Aexn1H@LTj{=nx{YTv zlAL?)V)-g@ITs+HK`;D|&j2lPHQuEua51*V+xf?5tn;jydyn~CBwn?mNfn+$RJp@f zlZ7p>u}MD&_Uqw{!_SK?$Hg2J51L+GhuUt3*&{2GWU+_N;z#`<3Ru{3W}scFqi7LP z%Nc24Kkbk*OU@HM>ov&^tsfO+2?`$+gK?2EbZL8!rH4~iK%;F=i<^jUwk2tprasPs zJH&59&0?2e4@QUmAYN_?`&2T@tePZrZnJ#BmQWI03k95)5zqNMkqY*3>ibrY{V2ld z3Ky&^=^eF}KqJ+H`xE0vfHeIKJ6Z-K_t}Cmoz&1Ym~~CwTbV#{{DCmDB4(Oc7j~_| z{A)3QNemya6oaT>z89$=Xd^ipk6(Kl5%e;t3075kgm_;yKoo|U@EIMk8 z4d7=~yw04=1RR-MT`)#=TFjXZ|7<%tC=3ikHC-?7ziVlMNiF>L^{3dgBnF(71DIgyX>vulKD-+Oa|34MDU)*M}zZidO%6nXK)HWP`b3K-U{8 zlEa%9HY3MDm88+{^JaY5eMn6>JO#aYAyiHF%O!ck#WzTgTG~w~&YOJ^g`tEl0?j@k z4iqODgd{(_M@7M38f4Xtmprs#kH*qM2MisHyuuJ)%Uc>5E48@o7T}rlQo(17phzwc z@bBiPX7%MeL<|d?^oQ9V4E4Xcc_9W9h5s1msGy1m{Ln#Y6#v6r;(89I%p71LNPG}O zlN@Imz4-lp@`FZ?(>Z2fX15LpiciR&4s%3q&?(ost`e{%fxaCObpyIFJq+ z1r#B+P%Q24D0mcY2sAaVIdN_Bv$xa7SC(t|7EU*hfUocOE_PN{58s!all$8j4kw?x zql24$z+~|hx)wbdrw8iy918b&cM!2_jF!&b?&=m7YX2ZtV9wvGs&oX_qR9)$FhUfZ zF+b!`;vH(TlG;r$Ns@- z-*`D?gcVXTHgQNSQf||KL4ze-83{51Gw0~f(QVm?2cVmF%I8}orz9$7lctK?=EMBN zM!|q68oysIDzCz3gI!q-L~KL!m(Rx*mO`NV}6KIU((G*``w2i~?rIgx|$kj)pYG z(0+`)ZyM9S+_lbSS)7 zv-z@7Pp<|9h8cio(EP(QLO3wd6tHo3^^SB%(2otkw=K=?$KP~1ZoE>n!F&4^35fBs zl7aj*SC2iXI+ln<8eAU-jG!dFwsp2dps9G6`S*sO$J=dw&qiP;aBH^Ot-t>MtC+%4 zGxlSzwfFlMttw(TDPN)ET#7AIwWX@-rZsbV?m0P>9LC+C%T?2g!h(0; z{*RzP$>B>t7R4)Oc!IHsKrKiHUk^U_KKGs7+DbSkzq8ZQ2yE@R-)Q{97QllT9rZv^ z!tMvk#H1OdTl}hKzhEN9diLEnLRB$u%A|7z?CaCUfl@ikrhARJ3n=LmK+|{V#GEna zl*y~2A6_IYVmW=w)a9DkhQ~<-%ho_;#(3`(TavNE=bf8p^ZXSs>Px-INPyY~kjw6c zvgP&0)g&{@6nm)(DYYxoiqC=|;f!}?dH3WVpXRme5#Mc1f#vl`gDbk<)agi>A0_oq zF(BDg7+lE-NTKkZRAC?WXQCRx;@X6cObYFju#FIlyLPpN@CieZI{1x3Ee#-cIp<_aPc3homo zDjEhNBYV2|_*gkCJ|#)Vrp}|LRm#iDmyjzB{)}C3v8cO=iV_V_KP@>Zk(V$C5hmTC z;n8;o41TJL9(TIt-_aS_%aY1c9*RC0Wz~2$4eE39dLvCar{vQ#Qklj;2x~Vk{qojh z8p(&S*u_k*z0IF|a&Qo;4{p92fYDE+Q*1&d1V3ZoRA>ZSfmM*#nffBu!Bj*~2cNY0W>(2R=`EE~+U=%~c|&T}hp^4M>A55GTur0}SyArW5pL ziHtFm#%Co~r~tI+&6mTKdZLuhm7+cQ2VECE0q8zhJ>k0Hz(3u;n<-)#Rb2uc#mG?@ z>S9RvjtN6=0O>Gzheot2#Xy=j@?Xo0*(s6h3>kbX9}LK76K}Zccj%#}Norqknb3i+ zKe{bfO{R?16@eeJM(g-GkZZ3m{aTkaV7uWSpEy2no?Ta zzc_Sy11`p3!$QU1Y%Xw!CAch8(MY*_pH!u1>1X8`xUOnpg+Say85=$LlZmasepL7$ zoe`Hb&fpH8V^fAt$D(G?KcM&s#~Sv8t+I|bGnOOb6lgeomt-6P$m9kWrmKfTu5Q4Y zf&%|*G6_TkE3}M!bJ!a7f15U)F;W3LK7Qi2uU1Y$zWy^Nq+2}^{wKddz{#TX|Fbie zp?s(PcJ!?%FYQ=~9zG+6KV+HA_A4*VmRmbXMjNIZ;2JF_SyFUaezpGYT3MvEOznzY zWu$o4;L7?aCXsf3%xmVLO*kutYjXOjS;{_7(FVxcIeBLrfE;a}ypg%B)Jj|@70ha! zgyy(+;e(3)OvHoAf9)JCI-$e;&(0tyGK&+HVYXUlI;XTfP&GLVfKk|W8E!GOM*~kJ zdq(m3k^2L0(1e|dFP~w|7E0oxk+bpw*cN|kl%I4q4oMO|k0EU~DlCz!m<08rpo|d_ z8N<@K(#+eRT$3&#=P|Z*6={^@AQ_s8Hx=$jiBu$YksX+M78lqWh8RPwnL-I=R+<#nJ(L&hjqunbcjeUD%=od3_X>(6kZSeUXw#l`Q!&!wx#ZXXqn=8 zth{t8lEx!`+8Nf4&FBRAR9E)v;UH!yAljIpE%!Cb2m~0r)pZg5mb*+x=!n^5FXE45?{cZ~#r_3u??yQJm~DqsMqP!)^R)uCuN zXaGW0GulPk6476r+ELVbTU7yT`S~*cSapS`UzN#(xjWD7N=%qB^xH6hQlc&;8U#H3 z1?$=g(Fo2+rw{ex)0Sju707uRQGCe=JZR9_PT%~s5wgh^dop$13~7c4VAVCETtzysxMuv>_uTZ{e-pilD5TjK9o8oo<3c0r(Kz@^7yvwxC0aIz%uw#!-p(3d(MM z4J>w5)qjB*4W4gUb828dL?L~-a*y{(lsml@}WioOykC0IrZ zt%+1FXPM>lmYsk(-T@@w&pC0?re$||282QlT7-($X;P%q zwIY?hw;UyiwnqB8Tz1ChaAE;-g9h?_zT8yiYtuJ#dym%$KyP=!Xc=Z-AUy>9h}ghY zE8q_{;X1+~JZbwyPj|n)7ADj`m7~N$%#tS9=g!?utEZ3so!92?)kR0!pX}$(Aa_A` zsRwdX0$dMe>1NwN-yvemXkm9@iUit1Lw8j$_sQG#_(i>lMBFEX%)DN%(EG$*+N_lJ z_TthyrhA3J_33;o7#(Kr4w)k^M+D16x14{Pu^!)ut7yWd^}!ZMB-xV$1*O>1qL6sK z&ST*-5PQMi^(^+E6A%X=WUu8+$v34RN66jwCH2vHd~}lgU@T=Zf~zgxmXB+sh?%N% zYcJ4$kC*$pJOs!f5W&Br5}CMCui zW|+!tpZ<31aUj_iNtq33Kd$G(c&n0A2!EL)o}3XYE%%%W@=Oi=g&NzSqWv@7iD{t4 zn^iHpP86ShpRj-?r{8C%bda8aXb#gAgOOZ^4iL^#^cWZR=Rn zeRhKeS7tskb}j4>eNl8GtHJQQWc*hg8@OO^5>yf9AV71AbZV)-PMLMGNdBx_?FU0F-c$Zq%#-b{sJu&%W-EL=Zu;Sl{E1 zvHHp=U_5?(Cy(Z;)?Uc20w{A7=tIF-jYNN<2NpB#lnM$}6~zs05nz^#lVVXuYwC3g za#~Fkso#;+Z-IpM>BWyFeLROJS3lEAzzLRrvGHMn=pp8@z-DH4YIId=N(FY%4j&+~ z${3^R0fCB(u@CK&$J~X{f2SBo8wn6;nr4eQmaRV8zz9aquejk3MK)MqmNJd<*=g;( z5RUXY^DN7L3OK$g^*K6!E!ez?XzG_O%QU>w+-VWkP(u9vkIvvpM!NY{MlDQ-)c=M} zd?3OIHv9wDsO@XiB9~ZRaHx2T%|Z1G?698!{s(Kcr%KzjghBax|L8}Ek(g8VUKIYd zuJ7vObI8|h1rbN7sa$g`7xoXkso8OVOCxN9LQL0cZYs)sN^HEKxJu_eQICdFJZHnM zGl7;Zb?EMFYB*(~X;q@xIyC!%O+LkVjX8dW9w?~X>Om024Z-j{k(p`0S$iNQ?dU0(AS9{*0|AK(~> zT8x2KaYtI|(t-pyz|3`}NlTHf!pZ!L=D3rtTk_anVTPHY#OKhZKk+YYnZwbftPNE= z(yM-{SUl5ddI(fa=^YOB^Bk~36^FM=0}+JT)vGbwc|-j(m$<|a^7h`E^gLWO>NF* zD7)J22x_pJZ{)mHpOF#JjANWa7@w;?3?&GbkQ>T zgl&_SlAfVJKj2weYiLHNE4Pg)%k@vJSh0u|MSTOM;q*ZWKDh}tjK5hY%37|Z8lSNJ z3r8qjMLR`(2*XO)Y-QizncVC-xb)`Gk>XH=&m+Qy2p(;Pds9sstJ4G3?ruYH01U~W z*b4Uqo^To%Lsn=xNo5;<9F(YUW!9#}KGAJ!jh+F^BE+P{S)s%E88QyAAx;Sc?2If~ z!yo!kl*^;;ld}9wn1srP06T-Sv>B%kjOI@ot0;4?c!CKnL^?uJUzNG-U!^`${#)MY zAbak>QozzoVd(B9YGRn9&_wi>rAkqk)+lWLk~&h!4RgQ0dt4;8vu=1h|0ib(xxst9 zitBzkJ8+`GeCk#MS7S(`*^-Dw?C6nA(Xm~0g8sKH5iFkbxpTDgIdjbDW@yFwdk3q* zYsFPf}_4JZJy>SkIb{{qO@u>1hCp)z~C#zO+JC}&Fsp3{2iO?M- z+v$|Fo5a1d7!5{zfx~R^n8?^(?@e>HBMTWD*le3@oxRJdDa{SbCik;EhDn4RCln&I ziEwZ-rk^JvGHNbA(y-+khJbLB2ZNsxCT0ck39jGc;5SdL&y5>I7iw0>c1=PeWpuyy zC#Iq|?hJ$MAEqMGN+{p~O^xJRC9L=*i~MwlrleTddyvcOoWo*mkh@B*h={VWth*8kV{AxKrtM%o8prowNhf zTo7SHNy8rjdeXKe<9$(zqT{7T3__Z((>?2L76(WN*c1;@t$t$n*L6;Yk?DrvG1kdAobcD-Wyby@@0Uolif&1MnJLK+{SXl?{pw;H+m)t;y*I;m<#aGl7KeRi7&)YHht%dKy z&nB?|43RC@ZSTqOMr{EXG>;O4qye_`f;vkp&dspH= zIU}S1d-M~YQxsT+eFb0r{$+cQ+*hXITWCbA{Kn!40=su9$VAO|_BP3;)&Q6+ye`ja z!SjAO4;cz3U8%2qOYaTxG>^tPl2W?sJTC4uyqVudNAYAL#s4nyR_A7Va zpLRotJbk|`&_+~oJU`O}x~!!n4SvW=R`gp{*!|Rj6gcyjy;ZuG%IAcVc79*W208x4 z(TP_~g8WH=>##!ofXPh@8)PT$9vNv_C2HfO=jf@MEBTKiG3r0$l^7^H(j#`VT|I=T z$nkdpzRvJ%sISb?wO>+rp02HK5XWLi^2Ys?8 zFT4Z58Cme9*mbUwUw%t)+0LdISqWaEKZi%Csp1O(a7I)xB9T6AaV#1YK@KU$n2lXj z?ax@!5r{ernmvTOJWveT2~Y;P?A$16(YCN`uHB4M=YFnIFsyko^~i=H3J!H)tQE1C!?eZp=*9IEn};|0Jw}L<4ol zniD}uyfn?Cnu!yOX=Sq7_0+1BIkd&ndQT|eie;VJi_bzvu4bpu)pkEZt4rZeQV>FfnO-3h89plc*BgWA(R}E;;%nmT zSMP-_dXfes>_TFYs>8GCy{w5et#TWkRM0*iagEE}YMD{n;83|zOz+Nm^KCPCw~VnX z8?^%h+HUzn3+uYt-)y~qo2JB>3r0t+>NFyIzrqcqP5;Oue=aW+nq!55Ic11E8#6A2 z{fUKjkL6rTyfTSjyJGpP@XmLG;qU$Qmn{tBt6P%S0e}epP{XevEFcIVHU>vVf56HU`Tji4GMJrKKfyT0ixMA{?eWOBSIU%%e&9AnT(`f}_hTD(^5wx!rkyZZk>yrp`paDesh7^1NLTYU5vn5or3x*T9ee;=zl4ASb227tBe&3?Y34Qfk|Gn&))5H`_Adiixsef8lz`KNfequc$0^k`P8Ij+_ z-p2}}fXfN<(U5z?^)^m+-d_v}`93!$rg4Ya7lh^5qH^)R_&m$%@?}eiR%dkRdtr1x z1DNOu1gLcZ*u%!XoFj83McG4YG70_<4%2$HAB8JSl|nzTD>UmlY3lU4#TZN`m3WhJ zB+~^}y${B^dq4Yuwvj}SjdFFGk6Ibt0P7iQoONaVz)Jc$Yt$cH&m{fw>6n!&=tC@N z56cOk*ZKt)!le8YxIxLp6J|5pXiuR9M8#>ypD&5zHB!56Wf~bM_AqGgAgvY&ZD&#Z zSbup64Xj6lD`4Y}h*Nio4IEXb7);AC$P+{@h|GS2kvey$T*?XcMw4Q#pphvoNX=g%k%Z=jNtn*Iy;l1Zn;^$S1vK!g6g?St#^+P)KU{`a%6W8|m z5Q`HuIbvo_Rb8r|Ke3KqBhvsICA!7E;e%mgVvqr+@r2Y;26{6O^_v`{V8_7j-%=rS zY|x;(7G`21Ct16f7V7b_cjA-z`0ICTqIgG6CBu;t8X5=F=^@HPqFYbTVOgBUY^e-| ztLN^1xGGqnC|Jy`M?4_XyA6`#CHq!3r}b>$8H=y%J`J$<(!pfce{hB}Muff?2x4~D z%wxxVuj<~d4}7Nz6&3t@lI9`>D=c~aeA248C?EceW5fx4s;`I5t$zU~W$2*AnNr$j z&975q#Wa=W{yOZ5Dn`SZ_VhC>kUezSsv54RR4%3^^J>nSWG>z4!QBJ%vQ{Vyb)}*D zCI^}2c#+5S>rl{QrbV();nw@WE8=Erqq=D7!j3ewUbm}#O%SujnorN zhJebx5#lorKG6+!+B;h7J=Owp4VPx{h?2#|9>|C1I=9axYMQa?1n%PdYcHkyCVh(l zNfkDG=u9fokA!qY{rKJS)WeWPn&G__HSd(4;l@#a!LizaO4!5hf}N<4JyWDRRpWf~ zzZ0M1NNnkCEh<>X9rAU~q9!?@Ug$G;Uw)ypEydqWudJE{@299WF`T0pYPO1E7z*vL zeMo{HDv8pA28T&91+lU!FfWWqycmO6FD?{EftXj|JlBF!so7*;869NTnKDmwtn}dB ziC}Cnz|DBW%%A-kkB%M`(NbI#g5?WZ0`-p%+C6!Rb2DtFw)+4yBctnx;VC^HgnG^K zOnr#xlE-Erz7--#<`Zo@a@kGN3DLfOSq0w82 z>^ZL-kM|geHPT{#RpZJ*FH>2LA`>$i55o7uLcRPu4O3D|>fZJyP%}QFZh4EU~u4{6TuRQRH~to*KK9_#ITDwvUnvPubmX`WQjp z3nDquOT{qtxc9fwgv@y+i!!^+Pk@^tS~wA>g#PnfxMoi=hT;12_c35@VB%{dh%|SZYAIRWI)ynB5kegLZNxTgKl41{-S@nNi!F{H5S!YC_y>&(;wv_Vwg^F7fJ7JpFK>VyHLdOS zX;K2^W8Di+JNO`EkeOsnPeYghqxW#Tbmbi9m-?`rIb&+ms@uy|F|%9c^T2$`%6S%P zks=5RvDS@vVLV{LiT*zUYYmk0=|brJ=tQ`uayf=3{M9yM`A8g`#EK~bg$xrDe_X+ zjf(1INJP3FKFcEfca$M|n?Re}Xht%EZb^?O@j|4!#tArg-9+Tp@Abapqa>o5v{Sh#PYGI_zq5Ufrt{2m-bWw+V^ z%dd>#$;UK~f~alh`$`x}!|<*g`zv4w8pUpe_?0l!kw?3&WnJ7r^TB6X<-lEvBFC^S zUZVKWD<#G^H0!)CYcqt$97EY;w^{xQ7@Bq;9c1jUpeoW%LfyvjD_|&9KV7z!22If; zVc0>m`N|lc(Jb#ohmVgTsN14I>Mc8HMZVS;cHNi10){h!!z^6O&QmD_wh49}J; z=f3(FE?0DZ3mBf^49^?U{7M+6l;zWmunr87W2lQi>@`>y3~LzTH-cdcL;N-|G>7sV z!7$CDInEa9UhEqjLkGieU<{RIVn^MVD*n({+C4Qytfyc1dY524V%xC@yS)%aNl#m` zkVZ3>ow~bIuo`K&EFPhA!e}g`!i$eO36^vmj#^$d%7m1m8IbMb2-EWU{-;~HNTT2Tfc&(Md{W4{id zc~8s1iiEit!Lbc^!66`-_IO(~#0ME{gOHWbyli~Dfb?=T4cphRBXmTQ8T<73!R_^EiyGPlPD%5FoO$dNCxAW2G^qz;>6SIHRJ{66{UY&)wB&J9?b8gtueTp zE@&YFdFB*}byF7X+4CMH=yy?7-bQapLo{%gO@xuM6qRg}>9=%5PJ!^NDxz?No`vH9 z7bXU3or?V4`64AFy>{W1ou5r4r3a&IGpDe$nU(jk;aCeQ9L$LYp>L$S*Gc$9s(Tm8 zxdBAhG0^ABVi05`WG3!9vD`h7cO-;n{9`(ek_O}ficDwMskawl66CSf-`=UX5MD2f zG;f&&i>$Lr7%3YpL9CEx6;gEwmkWuR_J8wh;JB6p4oJpgD?d1AEgG=lTDJtW3$_uY z4bZuvH-OaCKwA)8nS>`fd%d2p zLFjc`im204G_J8FSxqU9rB9XxJrW7><6FF7m8noE^dabiFi!4i(v_}W+T!{aFD$`G zT7WpKNPM&a>(yXMnWb@t1EEao4~5W{Apn50TJneNT@ML=HPTdOUvs552yGo}EA(x2A!Alt@C6`sRNF`-AbyL}ZRGpD*?Psc!f9Q#3sC`tA$TdD zr6PIC7Dq{~m)}`R0u9!m>x&J6cZqA?lDd@rgwwnr5d9f|$X=lx0~p%rA-nIs@3%}^ z)0Fj4+obh+Ka-3+W1PvV{?Z9B&^n?|tp1k6rg%$F@D`e&WE{0|5;K4bPE)pXv)e;R zgj^{b=pnSKBfanXvm>ND+Kp_RwZQb8*ia72{Ht&|2ze|~T@X5*A6pKK;#}>0aOu@< z{l)*s-qAHVZ3Ex+D>S_Hpg80xg*(6X;}MvYyYdRk*_Ws|Qn2KvnQ8xfN4xs8<;1y3 zOFP3oFigB!uUD(perXjB;Dzs?t_Oy(XUO@~pRY|gMfrgw`QQ7SNP~;P}Vi< zbmVt3g^popenYuXx@HydrrmR~GW>u&w5GDt_7)>_R0ZW)QMnVdL`7m*OG*7{NYIS3 zBZ^v59mDIE7GasQzRrN`Pp#Xq*pt?N^)SW~y`#1+4+H4Do=(scyIJ6*b< zB7IK@f6CLQ?L>4@^@A5GzO=vA?2%fBNXW`x|C?O59sORS(TB%l^CSPHc7Vd5M!-dxFH^lHPk_A9Pdo+SFg}SG6hX&Jvs#A1p z8!HEo=CFdrO0SHT#j&xfr8nU9h;OtrDnQK{`?}eK7Bm`SysY*D!&#j&UY0hV7SszOV{v+;F@)(}U05lLA*A@~-f>yLHeFD*aS0-0!Q zv(7DvY0=kd9Q0#BgtoF$$2QO($C6X32_G(L&}Pke=&(Af`8%{t%^F_iQKBh~TM8&!!Wi`PCl=ymlAIDO_QiJr)N zC$-be2=o3{cB}QUgfNOL^^5j0bh+gf5e1Wcm?BRPq35HhSEgCg+qm5-wulfb%EbO( z;T3(u_H=2q2*!sXNKJQ4=qO&ye6$GyMoMl%?}f#fFf7%dSt649`|+p?dzK{ zC2xCX-oCzZ^CP?f4`(&F_)bJaYo#ZnpX>*@2ZPZhn;od4^nlZ>dM5{oP0Ms9Dl$ew zlJ+zo3~Yr2f)w?%`oi4*grKUm(DOgW1t2i-1|g zx3YqDPlAgome^%BN-z0%+rFf#+tzIn9+I#bkaR0yTi(k*T)6}U{M0ZmKG+BIt9b(Z zVcSYA?_^U#Uzj8ouz(@2Ui4n4@3uwDc7s=bf1Me9bxjD_foQo+VB*dkZOXy8@PWva zIhXR>dy{2yc{v819WJ)r&cb#$ho2G6PQzR0EVmRrVwX0g=3diE(BKpvK#~||`{bl{2(NMi_n5aNMpoTXrp%*Hcv2SX%MnyLx zx3Xe;%Fu4LbuTbLw#ZA^^MurNDfN0rydv+Z97XHT9siv*&|f^4%4AA0Q5_CKCaT2- z$J{uAB8ji}Nk`kg{E^F1W)_V{J7dju&3X*hvVnD5bfT?UwJ&N>Z6z1RDt)Beu6CHW z-Lm0o{Z{IC2JVNdYHOux74_eC)GcE14Fbf4wx8kD%B%T&o`N;14{$la^V{Z+6zKB$ zdH{6yxk-So=P#ZQfb_cM_d12Ud^sP$u@$-u0qo`F%jcKD0yiQR1(eQfiThSRldSPI z6P0nb#8R@hGWMP8lNAgcx-lqYi#%;YG&Gs;U-JjVg=Kx>~7amMFh;VB_251Sjh{*!0oo{}5efAJ^Qb_%vMdL6`TQ!GH zM0m0ED96^#gi{Ma97nKaR?dW@216PTtexox12WW3Sv?c#`iBHtpecMEufop|Y=QGM z6Y{I(4Y=dyP5~s9_Qyro#$#y*^z8k^r?gWYYn(gHg>~+79T*dl_6Gw>I<>X(m+mO~ a_3!K7*T4VE-`@cM0RR7%Gp=|5bP52FA_g-6 literal 0 HcmV?d00001 diff --git a/smo-install/test/pythonsdk/src/orantests/disable_test_cl_k8s.py b/smo-install/test/pythonsdk/src/orantests/test_cl_k8s.py similarity index 61% rename from smo-install/test/pythonsdk/src/orantests/disable_test_cl_k8s.py rename to smo-install/test/pythonsdk/src/orantests/test_cl_k8s.py index 5e10dc2a..61dc622b 100644 --- a/smo-install/test/pythonsdk/src/orantests/disable_test_cl_k8s.py +++ b/smo-install/test/pythonsdk/src/orantests/test_cl_k8s.py @@ -42,20 +42,19 @@ dname = os.path.dirname(abspath) os.chdir(dname) logging.config.dictConfig(settings.LOG_CONFIG) -logger = logging.getLogger("test Control Loops for O-RU Fronthaul Recovery usecase - Clamp K8S usecase") +logger = logging.getLogger("test Control Loops for Clamp K8S usecase") clcommissioning_utils = ClCommissioningUtils() clamp = ClampToscaTemplate(settings.CLAMP_BASICAUTH) chartmuseum_port = "8080" -chart_version = "1.0.0" -chart_name = "oru-app" -release_name = "oru-app" usecase_name = "script_usecase" +chartmuseum_ip = "http://test-chartmuseum.test:8080" +global app_name -@pytest.fixture(scope="module", autouse=True) -def setup_simulators(): +@pytest.fixture(autouse=True) +def setup_simulators(request): """Prepare the test environment before the executing the tests.""" - logger.info("Test class setup for Closed Loop tests") + logger.info("Test class setup for Closed Loop tests of %s", request.node.name) deploy_chartmuseum() @@ -73,13 +72,13 @@ def setup_simulators(): result = check_output(cmd, shell=True).decode('utf-8') if result == '': logger.info("Failed to update the K8s pod repo") - logger.info("Test Session setup completed successfully") + logger.info("Test Session setup completed successfully for %s", request.node.name) ### Cleanup code yield # Finish and delete the cl instance clcommissioning_utils.clean_instance(usecase_name) - wait(lambda: is_oru_app_down(), sleep_seconds=5, timeout_seconds=60, waiting_for="Oru app is down") + wait(lambda: is_rapp_down(app_name), sleep_seconds=5, timeout_seconds=60, waiting_for="Rapp is down") # Remove the remote repo to Clamp k8s pod cmd = f"kubectl exec -it -n onap {k8s_pod} -- sh -c \"helm repo remove chartmuseum\"" check_output(cmd, shell=True).decode('utf-8') @@ -88,7 +87,7 @@ def setup_simulators(): cmd = "helm repo remove test" check_output(cmd, shell=True).decode('utf-8') time.sleep(10) - logger.info("Test Session cleanup done") + logger.info("Test Session cleanup done for %s", request.node.name) def deploy_chartmuseum(): @@ -107,6 +106,10 @@ def deploy_chartmuseum(): chartmuseum_url = subprocess.run("kubectl get services -n test | grep test-chartmuseum | awk '{print $3}'", shell=True, check=True, stdout=subprocess.PIPE).stdout.decode('utf-8').strip()+":8080" cmd = f"curl -X POST --data-binary @{dname}/resources/cl-test-helm-chart/oru-app-1.0.0.tgz http://{chartmuseum_url}/api/charts" check_output(cmd, shell=True).decode('utf-8') + cmd = f"curl -X POST --data-binary @{dname}/resources/cl-test-helm-chart/odu-app-1.0.0.tgz http://{chartmuseum_url}/api/charts" + check_output(cmd, shell=True).decode('utf-8') + cmd = f"curl -X POST --data-binary @{dname}/resources/cl-test-helm-chart/odu-app-ics-version-1.0.0.tgz http://{chartmuseum_url}/api/charts" + check_output(cmd, shell=True).decode('utf-8') def is_chartmuseum_up() -> bool: @@ -121,35 +124,69 @@ def is_chartmuseum_up() -> bool: return True -def is_oru_app_up() -> bool: - """Check if the oru-app is up.""" - cmd = "kubectl get pods -n nonrtric | grep oru-app | wc -l" +def is_rapp_up(appname) -> bool: + """Check if the rapp is up.""" + cmd = "kubectl get pods -n nonrtric | grep " + appname + " | wc -l" result = check_output(cmd, shell=True).decode('utf-8') - logger.info("Checking if oru-app is up :%s", result) + logger.info("Checking if %s is up :%s", appname, result) if int(result) == 1: - logger.info("ORU-APP is Up") + logger.info("%s is Up", appname.upper()) return True - logger.info("ORU-APP is Down") + logger.info("%s is Down", appname.upper()) return False -def is_oru_app_down() -> bool: - """Check if the oru-app is down.""" - cmd = "kubectl get pods -n nonrtric | grep oru-app | wc -l" +def is_rapp_down(appname) -> bool: + """Check if the rapp is down.""" + cmd = "kubectl get pods -n nonrtric | grep " + appname + " | wc -l" result = check_output(cmd, shell=True).decode('utf-8') - logger.info("Checking if oru-app is down :%s", result) + logger.info("Checking if %s is down :%s", appname, result) if int(result) == 0: - logger.info("ORU-APP is Down") + logger.info("%s is Down", appname.upper()) return True - logger.info("ORU-APP is Up") + logger.info("%s is Up", appname.upper()) return False def test_cl_oru_app_deploy(): + chart_version = "1.0.0" + chart_name = "oru-app" + release_name = "oru-app" + global app_name + app_name = chart_name """The Closed Loop O-RU Fronthaul Recovery usecase Apex version.""" logger.info("Upload tosca to commissioning") - chartmuseum_ip = subprocess.run("kubectl get services -n test | grep test-chartmuseum | awk '{print $3}'", shell=True, check=True, stdout=subprocess.PIPE).stdout.decode('utf-8').strip()+":8080" commissioning_payload = jinja_env().get_template("commission_k8s.json.j2").render(chartmuseumIp=chartmuseum_ip, chartmuseumPort=chartmuseum_port, chartVersion=chart_version, chartName=chart_name, releaseName=release_name) instance_payload = jinja_env().get_template("create_instance_k8s.json.j2").render(chartmuseumIp=chartmuseum_ip, chartmuseumPort=chartmuseum_port, chartVersion=chart_version, chartName=chart_name, releaseName=release_name, instanceName=usecase_name) assert clcommissioning_utils.create_instance(usecase_name, commissioning_payload, instance_payload) is True logger.info("Check if oru-app is up") - wait(lambda: is_oru_app_up(), sleep_seconds=5, timeout_seconds=60, waiting_for="Oru app to be up") + wait(lambda: is_rapp_up(chart_name), sleep_seconds=5, timeout_seconds=300, waiting_for="Oru app to be up") + +def test_cl_odu_app_smo_deploy(): + chart_version = "1.0.0" + chart_name = "odu-app" + release_name = "odu-app" + global app_name + app_name = chart_name + """The O-DU Slice Assurance SMO Version use case.""" + logger.info("Upload tosca to commissioning") + commissioning_payload = jinja_env().get_template("commission_k8s.json.j2").render(chartmuseumIp=chartmuseum_ip, chartmuseumPort=chartmuseum_port, chartVersion=chart_version, chartName=chart_name, releaseName=release_name) + instance_payload = jinja_env().get_template("create_instance_k8s.json.j2").render(chartmuseumIp=chartmuseum_ip, chartmuseumPort=chartmuseum_port, chartVersion=chart_version, chartName=chart_name, releaseName=release_name, instanceName=usecase_name) + assert clcommissioning_utils.create_instance(usecase_name, commissioning_payload, instance_payload) is True + + logger.info("Check if odu-app smo version is up") + wait(lambda: is_rapp_up(chart_name), sleep_seconds=5, timeout_seconds=300, waiting_for="Odu app smo version to be up") + +def test_cl_odu_app_ics_deploy(): + chart_version = "1.0.0" + chart_name = "odu-app-ics-version" + release_name = "odu-app-ics-version" + global app_name + app_name = chart_name + """The O-DU Slice Assurance ICS Version use case.""" + logger.info("Upload tosca to commissioning") + commissioning_payload = jinja_env().get_template("commission_k8s.json.j2").render(chartmuseumIp=chartmuseum_ip, chartmuseumPort=chartmuseum_port, chartVersion=chart_version, chartName=chart_name, releaseName=release_name) + instance_payload = jinja_env().get_template("create_instance_k8s.json.j2").render(chartmuseumIp=chartmuseum_ip, chartmuseumPort=chartmuseum_port, chartVersion=chart_version, chartName=chart_name, releaseName=release_name, instanceName=usecase_name) + assert clcommissioning_utils.create_instance(usecase_name, commissioning_payload, instance_payload) is True + + logger.info("Check if odu-app ics version is up") + wait(lambda: is_rapp_up(chart_name), sleep_seconds=5, timeout_seconds=300, waiting_for="Odu app ics version to be up") -- 2.16.6