X-Git-Url: https://gerrit.o-ran-sc.org/r/gitweb?a=blobdiff_plain;f=src%2F5gnrsch%2Frg_sch_pwr.c;h=b4f3c21cf0c85dad75bad98977fd17d7f638c4ab;hb=e596baac85d7993d92b3077ddc1b99af14b5e8a6;hp=132eb98af6cf93e78f96643f315445ffa113fe79;hpb=9c8b78da0f4ef42dae5e30a3061463b81327e7a0;p=o-du%2Fl2.git diff --git a/src/5gnrsch/rg_sch_pwr.c b/src/5gnrsch/rg_sch_pwr.c index 132eb98af..b4f3c21cf 100755 --- a/src/5gnrsch/rg_sch_pwr.c +++ b/src/5gnrsch/rg_sch_pwr.c @@ -32,9 +32,6 @@ @brief This module handles schedulers' power control functionality */ -static const char* RLOG_MODULE_NAME="MAC"; -static int RLOG_MODULE_ID=4096; -static int RLOG_FILE_ID=188; /* header include files -- defines (.h) */ #include "common_def.h" #include "lrg.h" @@ -55,8 +52,6 @@ static int RLOG_FILE_ID=188; #include "rg_sch_inf.x" /* typedefs for Scheduler */ #include "rg_sch.x" /* typedefs for Scheduler */ #include "rg_sch_cmn.x" -#include "rl_interface.h" -#include "rl_common.h" /* Current specs have 23 dBm as max tx power capability for UEs */ @@ -73,11 +68,11 @@ static int RLOG_FILE_ID=188; typedef S8 RgSchCmnUlPwrCqiToPwrTbl[RG_SCH_CMN_UL_NUM_CQI]; -PRIVATE RgSchCmnUlPwrCqiToPwrTbl rgSchPwrCqiToPwrTbl; +static RgSchCmnUlPwrCqiToPwrTbl rgSchPwrCqiToPwrTbl; /* This table maps a given number of RBs (given by array index) * to the power in dB that these many RBs map to. */ -CONSTANT U8 rgSchPwrRbToPwrTbl[111] = { 0, /* First entry is dummy */ +const uint8_t rgSchPwrRbToPwrTbl[111] = { 0, /* First entry is dummy */ 0, 3, 4, 6, 7, 7, 8, 9, 9, 10, 10, 10, 11, 11, 11, 12, 12, 12, 12, 13, 13, 13, 13, 13, 14, 14, 14, 14, 14, 14, @@ -94,261 +89,261 @@ CONSTANT U8 rgSchPwrRbToPwrTbl[111] = { 0, /* First entry is dummy */ /* This table maps power (in dB) to number of RBs */ /* The array size comes from max power in rgSchPwrRbToPwrTbl */ -CONSTANT U8 rgSchPwrToRbTbl[20+1] = { +const uint8_t rgSchPwrToRbTbl[20+1] = { 1, 1, 2, 2, 3, 4, 5, 6, 7, 9, 11, 13, 17, 21, 26, 33, 41, 52, 65, 82, 103 }; -PRIVATE S8 rgSCHPwrGetCqiPwr ARGS(( - U8 cqi +static S8 rgSCHPwrGetCqiPwr ARGS(( + uint8_t cqi )); -PRIVATE S8 rgSCHPwrGetCqiPwrForUe ARGS(( +static S8 rgSCHPwrGetCqiPwrForUe ARGS(( RgSchCellCb *cell, RgSchUeCb *ue, - U8 cqi + uint8_t cqi )); -PRIVATE S8 rgSCHPwrCalcEfficncyPwr ARGS(( - U32 eff +static S8 rgSCHPwrCalcEfficncyPwr ARGS(( + uint32_t eff )); -PRIVATE S8 rgSCHPwrGetDelta2FrmCqi ARGS(( - U8 crntCqi, - U8 trgCqi, +static S8 rgSCHPwrGetDelta2FrmCqi ARGS(( + uint8_t crntCqi, + uint8_t trgCqi, RgSchUeCb *ue, RgSchCellCb *cell )); -PRIVATE Void rgSCHPwrGetPuschTpc ARGS(( - U8 isAcc, +static Void rgSCHPwrGetPuschTpc ARGS(( + uint8_t isAcc, S8 delta, S8 availPwr, - U8 *tpc, + uint8_t *tpc, S8 *tpcDelta )); -PRIVATE U8 rgSCHPwrGetMaxRb ARGS(( +static uint8_t rgSCHPwrGetMaxRb ARGS(( RgSchCellCb *cell, S8 pwr )); -PRIVATE U8 rgSCHPwrRbToPwr ARGS(( +static uint8_t rgSCHPwrRbToPwr ARGS(( RgSchCellCb *cell, - U8 numRb + uint8_t numRb )); -PRIVATE Void rgSCHPwrSchedPucchRnti ARGS(( +static Void rgSCHPwrSchedPucchRnti ARGS(( RgSchCellCb *cell, RgSchCmnTpcRntiCb *cb, RgSchPdcch *pdcch, RgSchDlSf *dlSf, Bool *sched )); -PRIVATE Void rgSCHPwrPuschCntrl ARGS(( +static Void rgSCHPwrPuschCntrl ARGS(( RgSchCellCb *cell, RgSchUeCb *ue )); -PRIVATE Void rgSCHPwrPucchCntrl ARGS(( +static Void rgSCHPwrPucchCntrl ARGS(( RgSchCellCb *cell, RgSchUeCb *ue )); -PRIVATE Void rgSCHPwrSchedPuschRnti ARGS(( +static Void rgSCHPwrSchedPuschRnti ARGS(( RgSchCellCb *cell, RgSchCmnTpcRntiCb *cb, RgSchPdcch *pdcch, RgSchUlSf *ulSf, Bool *sched )); -PRIVATE Void rgSCHPwrGetPucchFmt3TpcForUe ARGS(( +static Void rgSCHPwrGetPucchFmt3TpcForUe ARGS(( RgSchUeCb *ue, - U8 *tpc, + uint8_t *tpc, S8 *delta )); -PRIVATE Void rgSCHPwrGetPucchFmt3aTpcForUe ARGS(( +static Void rgSCHPwrGetPucchFmt3aTpcForUe ARGS(( RgSchUeCb *ue, - U8 *tpc, + uint8_t *tpc, S8 *delta )); -PRIVATE Void rgSCHPwrGetPuschFmt3TpcForUe ARGS(( +static Void rgSCHPwrGetPuschFmt3TpcForUe ARGS(( RgSchUeCb *ue, - U8 *tpc, + uint8_t *tpc, S8 *delta )); -PRIVATE Void rgSCHPwrGetPuschFmt3aTpcForUe ARGS(( +static Void rgSCHPwrGetPuschFmt3aTpcForUe ARGS(( RgSchUeCb *ue, - U8 *tpc, + uint8_t *tpc, S8 *delta )); -PRIVATE Void rgSCHPwrGetAcc1bitTpc ARGS(( +static Void rgSCHPwrGetAcc1bitTpc ARGS(( S8 remPwr, - U8 *tpc, + uint8_t *tpc, S8 *delta )); -PRIVATE Void rgSCHPwrGetAcc2bitTpc ARGS(( +static Void rgSCHPwrGetAcc2bitTpc ARGS(( S8 remPwr, - U8 *tpc, + uint8_t *tpc, S8 *delta )); -PRIVATE Void rgSCHPwrGetAbsTpc ARGS(( +static Void rgSCHPwrGetAbsTpc ARGS(( S8 remPwr, - U8 *tpc, + uint8_t *tpc, S8 *delta )); -PRIVATE Void rgSCHPwrOnPucchGrpPwrForUe ARGS(( +static Void rgSCHPwrOnPucchGrpPwrForUe ARGS(( RgSchCellCb *cell, RgSchUeCb *ue, S8 delta )); -PRIVATE Void rgSCHPwrOnPuschGrpPwrForUe ARGS(( +static Void rgSCHPwrOnPuschGrpPwrForUe ARGS(( RgSchCellCb *cell, RgSchUeCb *ue, S8 delta )); -PRIVATE Bool rgSCHPwrIsDlUeSched ARGS(( +static Bool rgSCHPwrIsDlUeSched ARGS(( RgSchCellCb *cell, RgSchUeCb *ue, RgSchDlSf *sf )); -PRIVATE Bool rgSCHPwrIsUlUeSched ARGS(( +static Bool rgSCHPwrIsUlUeSched ARGS(( RgSchCellCb *cell, RgSchUeCb *ue, RgSchUlSf *sf )); -PRIVATE Void rgSCHPwrOnSchedPucchTpc ARGS(( +static Void rgSCHPwrOnSchedPucchTpc ARGS(( RgSchCellCb *cell, RgSchUeCb *ue, S8 delta )); -PRIVATE Void rgSCHPwrOnSchedPuschTpc ARGS(( +static Void rgSCHPwrOnSchedPuschTpc ARGS(( RgSchCellCb *cell, RgSchUeCb *ue )); -PRIVATE S16 rgSCHPwrApplyUePwrCfg ARGS(( +static S16 rgSCHPwrApplyUePwrCfg ARGS(( RgSchCellCb *cell, RgSchUeCb *ue, RgrUeUlPwrCfg *pwrCfg )); -PRIVATE Void rgSCHPwrUeResetPucch ARGS(( +static Void rgSCHPwrUeResetPucch ARGS(( RgSchCellCb *cell, RgSchUeCb *ue )); -PRIVATE Void rgSCHPwrUeResetPusch ARGS(( +static Void rgSCHPwrUeResetPusch ARGS(( RgSchCellCb *cell, RgSchUeCb *ue )); -PRIVATE Void rgSCHPwrOnPuschPwrUpd ARGS(( +static Void rgSCHPwrOnPuschPwrUpd ARGS(( RgSchCellCb *cell, RgSchUeCb *ue )); -PRIVATE Void rgSCHPwrAddRntiToPucchRntiLst ARGS(( +static Void rgSCHPwrAddRntiToPucchRntiLst ARGS(( RgSchCellCb *cell, CmLteRnti rnti, Bool isFmt3a )); -PRIVATE Void rgSCHPwrAddRntiToPuschRntiLst ARGS(( +static Void rgSCHPwrAddRntiToPuschRntiLst ARGS(( RgSchCellCb *cell, CmLteRnti rnti, Bool isFmt3a )); -PRIVATE Void rgSCHPwrInitTpcRntiCb ARGS(( +static Void rgSCHPwrInitTpcRntiCb ARGS(( RgSchCmnTpcRntiCb *cb, CmLteRnti rnti, Bool isFmt3a )); -PRIVATE RgSchCmnTpcRntiCb* rgSCHPwrGetPucchRntiCb ARGS(( +static RgSchCmnTpcRntiCb* rgSCHPwrGetPucchRntiCb ARGS(( RgSchCellCb *cell, CmLteRnti tpcRnti )); -PRIVATE RgSchCmnTpcRntiCb* rgSCHPwrGetPuschRntiCb ARGS(( +static RgSchCmnTpcRntiCb* rgSCHPwrGetPuschRntiCb ARGS(( RgSchCellCb *cell, CmLteRnti tpcRnti )); -PRIVATE Void rgSCHPwrAddUeToPucchTpcRntiCb ARGS(( +static Void rgSCHPwrAddUeToPucchTpcRntiCb ARGS(( RgSchCellCb *cell, RgSchCmnTpcRntiCb *cb, RgSchUeCb *ue )); -PRIVATE Void rgSCHPwrDelUeFrmPucchTpcRntiCb ARGS(( +static Void rgSCHPwrDelUeFrmPucchTpcRntiCb ARGS(( RgSchCellCb *cell, RgSchCmnTpcRntiCb *cb, RgSchUeCb *ue )); -PRIVATE Void rgSCHPwrRmvSchdUeFrmPucchTpcRntiCb ARGS(( +static Void rgSCHPwrRmvSchdUeFrmPucchTpcRntiCb ARGS(( RgSchCellCb *cell, RgSchCmnTpcRntiCb *cb, RgSchUeCb *ue )); -PRIVATE Void rgSCHPwrRmvSchdUeOnlyFrmPucchTpcRntiCb ARGS(( +static Void rgSCHPwrRmvSchdUeOnlyFrmPucchTpcRntiCb ARGS(( RgSchCellCb *cell, RgSchCmnTpcRntiCb *cb, RgSchUeCb *ue )); -PRIVATE Void rgSCHPwrRmvSchdPucchTpcRntiCb ARGS(( +static Void rgSCHPwrRmvSchdPucchTpcRntiCb ARGS(( RgSchCellCb *cell, RgSchCmnTpcRntiCb *cb )); -PRIVATE Void rgSCHPwrAddSchdUeToPucchTpcRntiCb ARGS(( +static Void rgSCHPwrAddSchdUeToPucchTpcRntiCb ARGS(( RgSchCellCb *cell, RgSchCmnTpcRntiCb *cb, RgSchUeCb *ue )); -PRIVATE Void rgSCHPwrAddSchdPucchTpcRntiCb ARGS(( +static Void rgSCHPwrAddSchdPucchTpcRntiCb ARGS(( RgSchCellCb *cell, RgSchCmnTpcRntiCb *cb )); -PRIVATE Void rgSCHPwrAddUeToPuschTpcRntiCb ARGS(( +static Void rgSCHPwrAddUeToPuschTpcRntiCb ARGS(( RgSchCmnTpcRntiCb *cb, RgSchUeCb *ue )); -PRIVATE Void rgSCHPwrAddSchdUeToPuschTpcRntiCb ARGS(( +static Void rgSCHPwrAddSchdUeToPuschTpcRntiCb ARGS(( RgSchCellCb *cell, RgSchCmnTpcRntiCb *cb, RgSchUeCb *ue )); -PRIVATE Void rgSCHPwrDelUeFrmPuschTpcRntiCb ARGS(( +static Void rgSCHPwrDelUeFrmPuschTpcRntiCb ARGS(( RgSchCellCb *cell, RgSchCmnTpcRntiCb *cb, RgSchUeCb *ue )); -PRIVATE Void rgSCHPwrRmvSchdUeFrmPuschTpcRntiCb ARGS(( +static Void rgSCHPwrRmvSchdUeFrmPuschTpcRntiCb ARGS(( RgSchCellCb *cell, RgSchCmnTpcRntiCb *cb, RgSchUeCb *ue )); -PRIVATE Void rgSCHPwrRmvSchdUeOnlyFrmPuschTpcRntiCb ARGS(( +static Void rgSCHPwrRmvSchdUeOnlyFrmPuschTpcRntiCb ARGS(( RgSchCellCb *cell, RgSchCmnTpcRntiCb *cb, RgSchUeCb *ue )); -PRIVATE Void rgSCHPwrAddSchdPuschTpcRntiCb ARGS(( +static Void rgSCHPwrAddSchdPuschTpcRntiCb ARGS(( RgSchCellCb *cell, RgSchCmnTpcRntiCb *cb )); -PRIVATE Void rgSCHPwrRmvSchdPuschTpcRntiCb ARGS(( +static Void rgSCHPwrRmvSchdPuschTpcRntiCb ARGS(( RgSchCellCb *cell, RgSchCmnTpcRntiCb *cb )); -PRIVATE S16 rgSCHPwrChkPucchTpcRntiIdx ARGS(( +static S16 rgSCHPwrChkPucchTpcRntiIdx ARGS(( RgSchCmnTpcRntiCb *cb, - U8 idx + uint8_t idx )); -PRIVATE S16 rgSCHPwrChkPuschTpcRntiIdx ARGS(( +static S16 rgSCHPwrChkPuschTpcRntiIdx ARGS(( RgSchCmnTpcRntiCb *cb, - U8 idx + uint8_t idx )); -PRIVATE S16 rgSCHPwrChkUniqPucchTpcRntiIdx ARGS(( +static S16 rgSCHPwrChkUniqPucchTpcRntiIdx ARGS(( RgSchCmnTpcRntiCb *cb, - U8 idx + uint8_t idx )); -PRIVATE S16 rgSCHPwrChkUniqPuschTpcRntiIdx ARGS(( +static S16 rgSCHPwrChkUniqPuschTpcRntiIdx ARGS(( RgSchCmnTpcRntiCb *cb, - U8 idx + uint8_t idx )); -PRIVATE S16 rgSCHPwrChkTpcRntiIdx ARGS(( +static S16 rgSCHPwrChkTpcRntiIdx ARGS(( RgSchCmnTpcRntiCb *cb, - U8 idx + uint8_t idx )); -PRIVATE S8 rgSCHPwrGetPhValFromPhr ARGS(( - U8 phr +static S8 rgSCHPwrGetPhValFromPhr ARGS(( + uint8_t phr )); -PRIVATE S8 rgSCHPwrGetPCMaxValFromPCMax ARGS(( - U8 pCMax +static S8 rgSCHPwrGetPCMaxValFromPCMax ARGS(( + uint8_t pCMax )); /* local defines */ @@ -368,23 +363,16 @@ PRIVATE S8 rgSCHPwrGetPCMaxValFromPCMax ARGS(( * * @return Void **/ -#ifdef ANSI -Void rgSCHPwrInit -( -Void -) -#else Void rgSCHPwrInit() -#endif { - U8 idx; + uint8_t idx; rgSchPwrCqiToPwrTbl[0] = 0; /* This should never be used anyway */ for (idx = 1; idx < RG_SCH_CMN_UL_NUM_CQI; ++idx) { rgSchPwrCqiToPwrTbl[idx] = rgSCHPwrCalcEfficncyPwr(rgSchCmnUlCqiTbl[idx].eff); } - RETVOID; + return; } /*********************************************************** @@ -394,22 +382,14 @@ Void rgSCHPwrInit() * Desc : Returns power corresponding to coding efficiency * when beta pusch is assumed 1. * - * Ret : U8 + * Ret : uint8_t * * Notes: * * File : * **********************************************************/ -#ifdef ANSI -PRIVATE S8 rgSCHPwrGetCqiPwr -( -U8 cqi -) -#else -PRIVATE S8 rgSCHPwrGetCqiPwr(cqi) -U8 cqi; -#endif +static S8 rgSCHPwrGetCqiPwr(uint8_t cqi) { return (rgSchPwrCqiToPwrTbl[cqi]); @@ -422,26 +402,14 @@ U8 cqi; * Desc : If MCS control is enabled for UE, returns * power corresponding to CQI, else 0. * - * Ret : U8 + * Ret : uint8_t * * Notes: * * File : * **********************************************************/ -#ifdef ANSI -PRIVATE S8 rgSCHPwrGetCqiPwrForUe -( -RgSchCellCb *cell, -RgSchUeCb *ue, -U8 cqi -) -#else -PRIVATE S8 rgSCHPwrGetCqiPwrForUe(cell, ue, cqi) -RgSchCellCb *cell; -RgSchUeCb *ue; -U8 cqi; -#endif +static S8 rgSCHPwrGetCqiPwrForUe(RgSchCellCb *cell,RgSchUeCb *ue,uint8_t cqi) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, cell); @@ -459,22 +427,14 @@ U8 cqi; * Desc : Computes power corresponding to a coding * efficiency. * - * Ret : U8 + * Ret : uint8_t * * Notes: Assumes beta pusch to be 1 * * File : * **********************************************************/ -#ifdef ANSI -PRIVATE S8 rgSCHPwrCalcEfficncyPwr -( -U32 eff -) -#else -PRIVATE S8 rgSCHPwrCalcEfficncyPwr(eff) -U32 eff; -#endif +static S8 rgSCHPwrCalcEfficncyPwr(uint32_t eff) { F64 ks = 1.25; /* or F64 */ F64 tmp = cmPow(2, ks*eff/1024) - 1; @@ -505,19 +465,9 @@ U32 eff; * * @param[in] RgSchCellCb *cell * @param[in] RgSchUeCb *ue - * @return U8 + * @return uint8_t **/ -#ifdef ANSI -U8 rgSCHPwrPuschTpcForUe -( -RgSchCellCb *cell, -RgSchUeCb *ue -) -#else -U8 rgSCHPwrPuschTpcForUe(cell, ue) -RgSchCellCb *cell; -RgSchUeCb *ue; -#endif +uint8_t rgSCHPwrPuschTpcForUe(RgSchCellCb *cell,RgSchUeCb *ue) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue,cell); @@ -549,17 +499,7 @@ RgSchUeCb *ue; * @param[in] RgSchUeCb *ue * @return Void **/ -#ifdef ANSI -U8 rgSCHPwrGetMaxUlRb -( -RgSchCellCb *cell, -RgSchUeCb *ue -) -#else -U8 rgSCHPwrGetMaxUlRb(cell, ue) -RgSchCellCb *cell; -RgSchUeCb *ue; -#endif +uint8_t rgSCHPwrGetMaxUlRb(RgSchCellCb *cell,RgSchUeCb *ue) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, cell); @@ -599,32 +539,22 @@ RgSchUeCb *ue; * @param[in] RgSchUeCb *ue * @return Void **/ -#ifdef ANSI -PRIVATE Void rgSCHPwrPuschCntrl -( -RgSchCellCb *cell, -RgSchUeCb *ue -) -#else -PRIVATE Void rgSCHPwrPuschCntrl(cell, ue) -RgSchCellCb *cell; -RgSchUeCb *ue; -#endif +static Void rgSCHPwrPuschCntrl(RgSchCellCb *cell,RgSchUeCb *ue) { RgSchCmnUlUe *ueUl = RG_SCH_CMN_GET_UL_UE(ue, cell); RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, cell); - RgSchCmnUlCell *cellUl = RG_SCH_CMN_GET_UL_CELL(cell); + RgSchCmnUlCell *cellUl = RG_SCH_CMN_GET_UL_CELL(cell); S8 delta; #ifdef TFU_UPGRADE - U8 cqi = ueUl->validUlCqi; - S32 tmp; + uint8_t cqi = ueUl->validUlCqi; + S32 tmp; #else - U8 cqi = ueUl->crntUlCqi[0]; + uint8_t cqi = ueUl->crntUlCqi[0]; #endif - Bool isAcc = uePwr->isAccumulated; - U8 tpc; - S8 availPwr; - U8 maxRb; + Bool isAcc = uePwr->isAccumulated; + uint8_t tpc; + S8 availPwr; + uint8_t maxRb; UNUSED(cell); @@ -664,18 +594,16 @@ RgSchUeCb *ue; } #endif } - RLOG_ARG4(L_UNUSED,DBG_CELLID,cell->cellId, - "UEID:%d Output Max Rb (%d), phVal (%d) AvailPwr (%d) ", + DU_LOG("\nDEBUG --> SCH : UEID:%d Output Max Rb (%d), phVal (%d) AvailPwr (%d) ", ue->ueId, maxRb, uePwr->phVal, availPwr); - RLOG_ARG3(L_UNUSED,DBG_CELLID,cell->cellId, - "UEID:%d pwrPerRb %d remPuschPwr %d", + DU_LOG("\nDEBUG --> SCH : UEID:%d pwrPerRb %d remPuschPwr %d", ue->ueId, uePwr->pwrPerRb, uePwr->remPuschPwr); uePwr->delta = delta; uePwr->maxUlRbs = maxRb; uePwr->puschTpc = tpc; - RETVOID; + return; } /** @@ -695,19 +623,9 @@ RgSchUeCb *ue; * * @param[in] RgSchCellCb *cell * @param[in] RgSchUeCb *ue - * @return U8 + * @return uint8_t **/ -#ifdef ANSI -U8 rgSCHPwrPucchTpcForUe -( -RgSchCellCb *cell, -RgSchUeCb *ue -) -#else -U8 rgSCHPwrPucchTpcForUe(cell, ue) -RgSchCellCb *cell; -RgSchUeCb *ue; -#endif +uint8_t rgSCHPwrPucchTpcForUe(RgSchCellCb *cell,RgSchUeCb *ue) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, cell); @@ -730,22 +648,7 @@ RgSchUeCb *ue; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE S8 rgSCHPwrGetDelta2FrmCqi -( -U8 crntCqi, -U8 trgCqi, -RgSchUeCb *ue, -RgSchCellCb *cell - -) -#else -PRIVATE S8 rgSCHPwrGetDelta2FrmCqi(crntCqi, trgCqi) -U8 crntCqi; -U8 trgCqi; -RgSchUeCb *ue; -RgSchCellCb *cell; -#endif +static S8 rgSCHPwrGetDelta2FrmCqi(uint8_t crntCqi,uint8_t trgCqi,RgSchUeCb *ue,RgSchCellCb *cell) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, cell); @@ -783,23 +686,7 @@ RgSchCellCb *cell; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrGetPuschTpc -( -U8 isAcc, -S8 delta, -S8 availPwr, -U8 *tpc, -S8 *tpcDelta -) -#else -PRIVATE Void rgSCHPwrGetPuschTpc(isAcc, delta, availPwr, tpc, tpcDelta) -U8 isAcc; -S8 delta; -S8 availPwr; -U8 *tpc; -S8 *tpcDelta; -#endif +static Void rgSCHPwrGetPuschTpc(uint8_t isAcc,S8 delta,S8 availPwr,uint8_t *tpc,S8 *tpcDelta) { delta = RGSCH_MIN(delta, availPwr); @@ -814,7 +701,7 @@ S8 *tpcDelta; { rgSCHPwrGetAbsTpc(delta, tpc, tpcDelta); } - RETVOID; + return; } /* rgSCHPwrGetPuschTpc */ /*********************************************************** @@ -825,24 +712,14 @@ S8 *tpcDelta; * expected to be supported by the passed * power headroom. * - * Ret : U8 + * Ret : uint8_t * * Notes: * * File : * **********************************************************/ -#ifdef ANSI -PRIVATE U8 rgSCHPwrGetMaxRb -( -RgSchCellCb *cell, -S8 pwr -) -#else -PRIVATE U8 rgSCHPwrGetMaxRb(cell, pwr) -RgSchCellCb *cell; -S8 pwr; -#endif +static uint8_t rgSCHPwrGetMaxRb(RgSchCellCb *cell,S8 pwr) { RgSchCmnUlCell *cellUl; @@ -858,7 +735,7 @@ S8 pwr; { return (cellUl->maxUlBwPerUe); } - return (RGSCH_MIN(cellUl->maxUlBwPerUe,rgSchPwrToRbTbl[(U8)pwr])); + return (RGSCH_MIN(cellUl->maxUlBwPerUe,rgSchPwrToRbTbl[(uint8_t)pwr])); } /* rgSCHPwrGetMaxRb */ /*********************************************************** @@ -867,24 +744,14 @@ S8 pwr; * * Desc : Get the power corresponding to number of RBs * - * Ret : U8 + * Ret : uint8_t * * Notes: * * File : * **********************************************************/ -#ifdef ANSI -PRIVATE U8 rgSCHPwrRbToPwr -( -RgSchCellCb *cell, -U8 numRb -) -#else -PRIVATE U8 rgSCHPwrRbToPwr(cell,numRb) -RgSchCellCb *cell; -U8 numRb; -#endif +static uint8_t rgSCHPwrRbToPwr(RgSchCellCb *cell,uint8_t numRb) { #ifndef NO_ERRCLS RgSchCmnUlCell *cellUl; @@ -915,24 +782,14 @@ U8 numRb; * @param[in] RgSchUeCb *ue * @return Void **/ -#ifdef ANSI -PRIVATE Void rgSCHPwrPucchCntrl -( -RgSchCellCb *cell, -RgSchUeCb *ue -) -#else -PRIVATE Void rgSCHPwrPucchCntrl(cell, ue) -RgSchCellCb *cell; -RgSchUeCb *ue; -#endif +static Void rgSCHPwrPucchCntrl(RgSchCellCb *cell,RgSchUeCb *ue) { S8 delta; RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, cell); rgSCHPwrGetAcc2bitTpc(uePwr->remPucchPwr, &uePwr->pucchTpc, &delta); rgSCHPwrOnSchedPucchTpc(cell, ue, delta); - RETVOID; + return; } /** @@ -983,17 +840,7 @@ RgSchUeCb *ue; * @param[in] RgSchDlSf *dlSf * @return Void **/ -#ifdef ANSI -Void rgSCHPwrGrpCntrlPucch -( -RgSchCellCb *cell, -RgSchDlSf *dlSf -) -#else -Void rgSCHPwrGrpCntrlPucch(cell, dlSf) -RgSchCellCb *cell; -RgSchDlSf *dlSf; -#endif +Void rgSCHPwrGrpCntrlPucch(RgSchCellCb *cell,RgSchDlSf *dlSf) { RgSchCmnUlPwrCb *cellPwr = RG_SCH_PWR_GETCELLPWR(cell); CmLListCp *lst; @@ -1016,7 +863,7 @@ RgSchDlSf *dlSf; * be scheduled again */ } - RETVOID; + return; } /** @@ -1065,19 +912,7 @@ RgSchDlSf *dlSf; * @param[in] RgSchDlSf *sf * @return Void **/ -#ifdef ANSI -Void rgSCHPwrGrpCntrlPusch -( -RgSchCellCb *cell, -RgSchDlSf *dlSf, -RgSchUlSf *ulSf -) -#else -Void rgSCHPwrGrpCntrlPusch(cell, dlSf, ulSf) -RgSchCellCb *cell; -RgSchDlSf *dlSf; -RgSchUlSf *ulSf; -#endif +Void rgSCHPwrGrpCntrlPusch(RgSchCellCb *cell,RgSchDlSf *dlSf,RgSchUlSf *ulSf) { RgSchCmnUlPwrCb *cellPwr = RG_SCH_PWR_GETCELLPWR(cell); CmLListCp *lst; @@ -1100,7 +935,7 @@ RgSchUlSf *ulSf; * be scheduled again */ } - RETVOID; + return; } /*********************************************************** @@ -1116,30 +951,14 @@ RgSchUlSf *ulSf; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrSchedPucchRnti -( -RgSchCellCb *cell, -RgSchCmnTpcRntiCb *cb, -RgSchPdcch *pdcch, -RgSchDlSf *dlSf, -Bool *sched -) -#else -PRIVATE Void rgSCHPwrSchedPucchRnti(cell, cb, pdcch, dlSf, sched) -RgSchCellCb *cell; -RgSchCmnTpcRntiCb *cb; -RgSchPdcch *pdcch; -RgSchDlSf *dlSf; -Bool *sched; -#endif +static Void rgSCHPwrSchedPucchRnti(RgSchCellCb *cell,RgSchCmnTpcRntiCb *cb,RgSchPdcch *pdcch,RgSchDlSf *dlSf,Bool *sched) { CmLListCp *lst; CmLList *lnk; - U8 *tpcCmds; - U8 tpc; - S8 delta; - Bool atleastOne; + uint8_t *tpcCmds; + uint8_t tpc; + S8 delta; + Bool atleastOne; pdcch->rnti = cb->tpcRnti; @@ -1230,7 +1049,7 @@ Bool *sched; rgSCHPwrRmvSchdPucchTpcRntiCb(cell, cb); } - RETVOID; + return; } /* rgSCHPwrSchedPucchRnti */ /*********************************************************** @@ -1246,30 +1065,14 @@ Bool *sched; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrSchedPuschRnti -( -RgSchCellCb *cell, -RgSchCmnTpcRntiCb *cb, -RgSchPdcch *pdcch, -RgSchUlSf *ulSf, -Bool *sched -) -#else -PRIVATE Void rgSCHPwrSchedPuschRnti(cell, cb, pdcch, ulSf, sched) -RgSchCellCb *cell; -RgSchCmnTpcRntiCb *cb; -RgSchPdcch *pdcch; -RgSchUlSf *ulSf; -Bool *sched; -#endif +static Void rgSCHPwrSchedPuschRnti(RgSchCellCb *cell,RgSchCmnTpcRntiCb *cb,RgSchPdcch *pdcch,RgSchUlSf *ulSf,Bool *sched) { CmLListCp *lst; CmLList *lnk; - U8 *tpcCmds; - U8 tpc; - S8 delta; - Bool atleastOne; + uint8_t *tpcCmds; + uint8_t tpc; + S8 delta; + Bool atleastOne; pdcch->rnti = cb->tpcRnti; @@ -1359,7 +1162,7 @@ Bool *sched; rgSCHPwrRmvSchdPuschTpcRntiCb(cell, cb); } - RETVOID; + return; } /* rgSCHPwrSchedPuschRnti */ /*********************************************************** @@ -1375,24 +1178,12 @@ Bool *sched; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrGetPucchFmt3TpcForUe -( -RgSchUeCb *ue, -U8 *tpc, -S8 *delta -) -#else -PRIVATE Void rgSCHPwrGetPucchFmt3TpcForUe(ue, tpc, delta) -RgSchUeCb *ue; -U8 *tpc; -S8 *delta; -#endif +static Void rgSCHPwrGetPucchFmt3TpcForUe(RgSchUeCb *ue,uint8_t *tpc,S8 *delta) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, ue->cell); rgSCHPwrGetAcc2bitTpc(uePwr->remPucchPwr, tpc, delta); - RETVOID; + return; } /* rgSCHPwrGetPucchFmt3TpcForUe */ /*********************************************************** @@ -1408,24 +1199,12 @@ S8 *delta; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrGetPucchFmt3aTpcForUe -( -RgSchUeCb *ue, -U8 *tpc, -S8 *delta -) -#else -PRIVATE Void rgSCHPwrGetPucchFmt3aTpcForUe(ue, tpc, delta) -RgSchUeCb *ue; -U8 *tpc; -S8 *delta; -#endif +static Void rgSCHPwrGetPucchFmt3aTpcForUe(RgSchUeCb *ue,uint8_t *tpc,S8 *delta) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, ue->cell); rgSCHPwrGetAcc1bitTpc(uePwr->remPucchPwr, tpc, delta); - RETVOID; + return; } /* rgSCHPwrGetPucchFmt3aTpcForUe */ /*********************************************************** @@ -1441,25 +1220,13 @@ S8 *delta; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrGetPuschFmt3TpcForUe -( -RgSchUeCb *ue, -U8 *tpc, -S8 *delta -) -#else -PRIVATE Void rgSCHPwrGetPuschFmt3TpcForUe(ue, tpc, delta) -RgSchUeCb *ue; -U8 *tpc; -S8 *delta; -#endif +static Void rgSCHPwrGetPuschFmt3TpcForUe(RgSchUeCb *ue,uint8_t *tpc,S8 *delta) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, ue->cell); S8 adj = RGSCH_MIN(uePwr->remPuschPwr, uePwr->phVal); rgSCHPwrGetAcc2bitTpc(adj, tpc, delta); - RETVOID; + return; } /* rgSCHPwrGetPuschFmt3TpcForUe */ /*********************************************************** @@ -1475,26 +1242,14 @@ S8 *delta; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrGetPuschFmt3aTpcForUe -( -RgSchUeCb *ue, -U8 *tpc, -S8 *delta -) -#else -PRIVATE Void rgSCHPwrGetPuschFmt3aTpcForUe(ue, tpc, delta) -RgSchUeCb *ue; -U8 *tpc; -S8 *delta; -#endif +static Void rgSCHPwrGetPuschFmt3aTpcForUe(RgSchUeCb *ue,uint8_t *tpc,S8 *delta) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, ue->cell); /* Don't attempt to look at headroom now, power * adjustment is small anyway */ rgSCHPwrGetAcc1bitTpc(uePwr->remPuschPwr, tpc, delta); - RETVOID; + return; } /* rgSCHPwrGetPuschFmt3aTpcForUe */ /*********************************************************** @@ -1510,19 +1265,7 @@ S8 *delta; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrGetAcc1bitTpc -( -S8 remPwr, -U8 *tpc, -S8 *delta -) -#else -PRIVATE Void rgSCHPwrGetAcc1bitTpc(remPwr, tpc, delta) -S8 remPwr; -U8 *tpc; -S8 *delta; -#endif +static Void rgSCHPwrGetAcc1bitTpc(S8 remPwr,uint8_t *tpc,S8 *delta) { /* * TPC delta @@ -1539,7 +1282,7 @@ S8 *delta; *delta = 1; *tpc = 1; } - RETVOID; + return; } /* rgSCHPwrGetAcc1bitTpc */ /*********************************************************** @@ -1555,19 +1298,7 @@ S8 *delta; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrGetAcc2bitTpc -( -S8 remPwr, -U8 *tpc, -S8 *delta -) -#else -PRIVATE Void rgSCHPwrGetAcc2bitTpc(remPwr, tpc, delta) -S8 remPwr; -U8 *tpc; -S8 *delta; -#endif +static Void rgSCHPwrGetAcc2bitTpc(S8 remPwr,uint8_t *tpc,S8 *delta) { /* * TPC delta @@ -1576,8 +1307,8 @@ S8 *delta; * 2 1 * 3 3 */ - U8 tpcs[3] = {1, 2, 2}; - U8 deltas[3] = {0, 1, 1}; + uint8_t tpcs[3] = {1, 2, 2}; + uint8_t deltas[3] = {0, 1, 1}; if (remPwr <= -1) { *tpc = 0; @@ -1590,10 +1321,10 @@ S8 *delta; } else { - *tpc = tpcs[(U8)remPwr]; - *delta = deltas[(U8)remPwr]; + *tpc = tpcs[(uint8_t)remPwr]; + *delta = deltas[(uint8_t)remPwr]; } - RETVOID; + return; } /* rgSCHPwrGetAcc2bitTpc */ /*********************************************************** @@ -1609,19 +1340,7 @@ S8 *delta; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrGetAbsTpc -( -S8 remPwr, -U8 *tpc, -S8 *delta -) -#else -PRIVATE Void rgSCHPwrGetAbsTpc(remPwr, tpc, delta) -S8 remPwr; -U8 *tpc; -S8 *delta; -#endif +static Void rgSCHPwrGetAbsTpc(S8 remPwr,uint8_t *tpc,S8 *delta) { /* * TPC delta @@ -1650,7 +1369,7 @@ S8 *delta; *tpc = 3; *delta = 4; } - RETVOID; + return; } /* rgSCHPwrGetAbsTpc */ /*********************************************************** @@ -1674,19 +1393,7 @@ S8 *delta; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrOnPucchGrpPwrForUe -( -RgSchCellCb *cell, -RgSchUeCb *ue, -S8 delta -) -#else -PRIVATE Void rgSCHPwrOnPucchGrpPwrForUe(cell, ue, delta) -RgSchCellCb *cell; -RgSchUeCb *ue; -S8 delta; -#endif +static Void rgSCHPwrOnPucchGrpPwrForUe(RgSchCellCb *cell,RgSchUeCb *ue,S8 delta) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, ue->cell); Bool rmvUe = FALSE; @@ -1712,7 +1419,7 @@ S8 delta; * this will happen in the caller once this * function is called for every UE scheduled. */ } - RETVOID; + return; } /*********************************************************** @@ -1736,19 +1443,7 @@ S8 delta; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrOnPuschGrpPwrForUe -( -RgSchCellCb *cell, -RgSchUeCb *ue, -S8 delta -) -#else -PRIVATE Void rgSCHPwrOnPuschGrpPwrForUe(cell, ue, delta) -RgSchCellCb *cell; -RgSchUeCb *ue; -S8 delta; -#endif +static Void rgSCHPwrOnPuschGrpPwrForUe(RgSchCellCb *cell,RgSchUeCb *ue,S8 delta) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, ue->cell); Bool rmvUe = FALSE; @@ -1782,7 +1477,7 @@ S8 delta; * this will happen in the caller once this * function is called for every UE scheduled. */ } - RETVOID; + return; } /*********************************************************** @@ -1798,19 +1493,7 @@ S8 delta; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Bool rgSCHPwrIsDlUeSched -( -RgSchCellCb *cell, -RgSchUeCb *ue, -RgSchDlSf *sf -) -#else -PRIVATE Bool rgSCHPwrIsDlUeSched(cell, ue, sf) -RgSchCellCb *cell; -RgSchUeCb *ue; -RgSchDlSf *sf; -#endif +static Bool rgSCHPwrIsDlUeSched(RgSchCellCb *cell,RgSchUeCb *ue,RgSchDlSf *sf) { RgSchDlHqEnt *hqEnt = RG_SCH_CMN_GET_UE_HQE(ue, cell); RgSchDlHqProcCb *proc = rgSCHDhmLastSchedHqProc(hqEnt); @@ -1863,19 +1546,7 @@ RgSchDlSf *sf; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Bool rgSCHPwrIsUlUeSched -( -RgSchCellCb *cell, -RgSchUeCb *ue, -RgSchUlSf *sf -) -#else -PRIVATE Bool rgSCHPwrIsUlUeSched(cell, ue, sf) -RgSchCellCb *cell; -RgSchUeCb *ue; -RgSchUlSf *sf; -#endif +static Bool rgSCHPwrIsUlUeSched(RgSchCellCb *cell,RgSchUeCb *ue,RgSchUlSf *sf) { RgSchCmnUlCell *cmnCell = RG_SCH_CMN_GET_UL_CELL(cell); RgSchUlHqProcCb *proc = rgSCHUhmGetUlHqProc(cell, ue, cmnCell->schdHqProcIdx); @@ -1931,22 +1602,10 @@ RgSchUlSf *sf; * * @param[in] RgSchCellCb *cell * @param[in] RgSchUeCb *ue - * @param[in] U8 pwrDelta + * @param[in] uint8_t pwrDelta * @return Void **/ -#ifdef ANSI -Void rgSCHPwrPucchDeltaInd -( -RgSchCellCb *cell, -RgSchUeCb *ue, -S8 pwrDelta -) -#else -Void rgSCHPwrPucchDeltaInd(cell, ue, pwrDelta) -RgSchCellCb *cell; -RgSchUeCb *ue; -S8 pwrDelta; -#endif +Void rgSCHPwrPucchDeltaInd(RgSchCellCb *cell,RgSchUeCb *ue,S8 pwrDelta) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, cell); RgSchCmnTpcRntiCb *cb; @@ -1956,7 +1615,7 @@ S8 pwrDelta; if ((cb = uePwr->tpcPucchRntiCb) == NULLP) { - RETVOID; + return; } toAdd = FALSE; @@ -1976,7 +1635,7 @@ S8 pwrDelta; rgSCHPwrRmvSchdUeFrmPucchTpcRntiCb(cell, cb, ue); } - RETVOID; + return; } /** @@ -2000,19 +1659,7 @@ S8 pwrDelta; * @param[in] S8 delta * @return Void **/ -#ifdef ANSI -PRIVATE Void rgSCHPwrOnSchedPucchTpc -( -RgSchCellCb *cell, -RgSchUeCb *ue, -S8 delta -) -#else -PRIVATE Void rgSCHPwrOnSchedPucchTpc(cell, ue, delta) -RgSchCellCb *cell; -RgSchUeCb *ue; -S8 delta; -#endif +static Void rgSCHPwrOnSchedPucchTpc(RgSchCellCb *cell,RgSchUeCb *ue,S8 delta) { /* Similar to rgSCHPwrPucchDeltaInd.. not reusing * that since we use the fact that UE could only have @@ -2024,7 +1671,7 @@ S8 delta; if (uePwr->schdPucchGrpLnk.node == NULLP) { - RETVOID; + return; } /* UE was scheduled for TPC, sent TPC may @@ -2043,7 +1690,7 @@ S8 delta; rgSCHPwrRmvSchdPucchTpcRntiCb(cell, uePwr->tpcPucchRntiCb); } } - RETVOID; + return; } @@ -2063,17 +1710,7 @@ S8 delta; * @param[in] RgSchUeCb *ue * @return Void **/ -#ifdef ANSI -PRIVATE Void rgSCHPwrOnSchedPuschTpc -( -RgSchCellCb *cell, -RgSchUeCb *ue -) -#else -PRIVATE Void rgSCHPwrOnSchedPuschTpc(cell, ue) -RgSchCellCb *cell; -RgSchUeCb *ue; -#endif +static Void rgSCHPwrOnSchedPuschTpc(RgSchCellCb *cell,RgSchUeCb *ue) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, cell); Bool rmvUe = FALSE; @@ -2081,7 +1718,7 @@ RgSchUeCb *ue; /* Don't do anything for the case of absolute TPC commands */ if (!uePwr->isAccumulated) { - RETVOID; + return; } uePwr->remPuschPwr -= uePwr->delta; @@ -2093,7 +1730,7 @@ RgSchUeCb *ue; if (uePwr->schdPuschGrpLnk.node == NULLP) { - RETVOID; + return; } /* UE was scheduled for pusch TPC, sent TPC may @@ -2109,7 +1746,7 @@ RgSchUeCb *ue; rgSCHPwrRmvSchdUeFrmPuschTpcRntiCb(cell, uePwr->tpcPuschRntiCb, ue); } - RETVOID; + return; } /** @@ -2124,25 +1761,11 @@ RgSchUeCb *ue; * @param[in] RgSchCmnAllocRecord allocInfo * @return Void **/ -#ifdef ANSI -Void rgSCHPwrUpdExtPhr -( -RgSchCellCb *cell, -RgSchUeCb *ue, -RgInfExtPhrCEInfo *extPhr, -RgSchCmnAllocRecord *allocInfo -) -#else -Void rgSCHPwrUpdExtPhr(cell, ue, extPhr, allocInfo) -RgSchCellCb *cell; -RgSchUeCb *ue; -RgInfExtPhrCEInfo *extPhr; -RgSchCmnAllocRecord *allocInfo; -#endif +Void rgSCHPwrUpdExtPhr(RgSchCellCb *cell,RgSchUeCb *ue,RgInfExtPhrCEInfo *extPhr,RgSchCmnAllocRecord *allocInfo) { - U8 idx; + uint8_t idx; RgInfExtPhrSCellInfo *servCellPhr; - S8 pCMax; + S8 pCMax; for (idx = 0; idx < extPhr->numServCells; idx++) { @@ -2159,7 +1782,7 @@ RgSchCmnAllocRecord *allocInfo; rgSCHPwrUpdPhr(ue->cellInfo[servCellPhr->sCellIdx]->cell, ue, servCellPhr->phr, allocInfo, pCMax); } - RETVOID; + return; } /** @@ -2194,32 +1817,16 @@ RgSchCmnAllocRecord *allocInfo; * * @param[in] RgSchCellCb *cell * @param[in] RgSchUeCb *ue - * @param[in] U8 phr + * @param[in] uint8_t phr * @param[in] RgSchCmnAllocRecord allocInfo - * @param[in] U8 maxUePwr + * @param[in] uint8_t maxUePwr * @return Void **/ -#ifdef ANSI -Void rgSCHPwrUpdPhr -( -RgSchCellCb *cell, -RgSchUeCb *ue, -U8 phr, -RgSchCmnAllocRecord *allocInfo, -S8 maxUePwr -) -#else -Void rgSCHPwrUpdPhr(cell, ue, phr, allocInfo, maxUePwr) -RgSchCellCb *cell; -RgSchUeCb *ue; -U8 phr; -RgSchCmnAllocRecord *allocInfo; -S8 maxUePwr; -#endif +Void rgSCHPwrUpdPhr(RgSchCellCb *cell,RgSchUeCb *ue,uint8_t phr,RgSchCmnAllocRecord *allocInfo,S8 maxUePwr ) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, cell); - U8 rbPwr; - U8 effPwr; + uint8_t rbPwr; + uint8_t effPwr; RgSchCmnUlCell *cellUl = RG_SCH_CMN_GET_UL_CELL(cell); uePwr->phVal = rgSCHPwrGetPhValFromPhr(phr); @@ -2246,13 +1853,12 @@ S8 maxUePwr; rgSCHPwrOnPuschPwrUpd(cell, ue); - RLOG_ARG4(L_DEBUG,DBG_UEID,ue->ueId, - "Output: Reported PHR[%d] cqi[%u] allocRb[%u] uePwr->pwrPerRb[%d]", + DU_LOG("\nDEBUG --> SCH : Output: Reported PHR[%d] cqi[%u] allocRb[%u] uePwr->pwrPerRb[%d]", uePwr->phVal, allocInfo->cqi, allocInfo->numRb, uePwr->pwrPerRb); - RETVOID; + return; } /** @@ -2272,20 +1878,10 @@ S8 maxUePwr; * * @param[in] RgSchCellCb *cell * @param[in] RgSchUeCb *ue - * @param[in] U8 numRb + * @param[in] uint8_t numRb * @return Void **/ -#ifdef ANSI -Void rgSCHPwrUlCqiInd -( -RgSchCellCb *cell, -RgSchUeCb *ue -) -#else -Void rgSCHPwrUlCqiInd(cell, ue) -RgSchCellCb *cell; -RgSchUeCb *ue; -#endif +Void rgSCHPwrUlCqiInd(RgSchCellCb *cell,RgSchUeCb *ue) { RgSchCmnUlUe *ueUl = RG_SCH_CMN_GET_UL_UE(ue, cell); RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, cell); @@ -2329,7 +1925,7 @@ RgSchUeCb *ue; } #endif - RETVOID; + return; } /** @@ -2352,26 +1948,14 @@ RgSchUeCb *ue; * * @param[in] RgSchCellCb *cell * @param[in] RgSchUeCb *ue - * @param[in] U8 numRb + * @param[in] uint8_t numRb * @return Void **/ -#ifdef ANSI -Void rgSCHPwrRecordRbAlloc -( -RgSchCellCb *cell, -RgSchUeCb *ue, -U8 numRb -) -#else -Void rgSCHPwrRecordRbAlloc(cell, ue, numRb) -RgSchCellCb *cell; -RgSchUeCb *ue; -U8 numRb; -#endif +Void rgSCHPwrRecordRbAlloc(RgSchCellCb *cell,RgSchUeCb *ue,uint8_t numRb) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, cell); UNUSED(cell); - RETVOID; + return; if (uePwr->isPhrAvail) { @@ -2379,7 +1963,7 @@ U8 numRb; uePwr->phVal = RGSCH_MIN(40, uePwr->phVal); } uePwr->numRb = numRb; - RETVOID; + return; } /** @@ -2408,22 +1992,12 @@ U8 numRb; * -# ROK * -# RFAILED **/ -#ifdef ANSI -S16 rgSCHPwrCellCfg -( -RgSchCellCb *cell, -RgrCellCfg *cfg -) -#else -S16 rgSCHPwrCellCfg(cell, cfg) -RgSchCellCb *cell; -RgrCellCfg *cfg; -#endif +S16 rgSCHPwrCellCfg(RgSchCellCb *cell,RgrCellCfg *cfg) { RgSchCmnUlPwrCb *cellPwr = RG_SCH_PWR_GETCELLPWR(cell); CmLteRnti rnti; CmLteRnti startRnti; - U16 size; + uint16_t size; Bool isFmt3a; /* Right now, all UEs have fixed maximum power capability. So @@ -2499,17 +2073,7 @@ RgrCellCfg *cfg; * @return S16 * -# ROK **/ -#ifdef ANSI -S16 rgSCHPwrCellRecfg -( -RgSchCellCb *cell, -RgrCellRecfg *recfg -) -#else -S16 rgSCHPwrCellRecfg(cell, recfg) -RgSchCellCb *cell; -RgrCellRecfg *recfg; -#endif +S16 rgSCHPwrCellRecfg(RgSchCellCb *cell,RgrCellRecfg *recfg) { UNUSED(cell); UNUSED(recfg); @@ -2532,20 +2096,12 @@ RgrCellRecfg *recfg; * @param[in] RgSchCellCb *cell * @return Void **/ -#ifdef ANSI -Void rgSCHPwrCellDel -( -RgSchCellCb *cell -) -#else -Void rgSCHPwrCellDel(cell) -RgSchCellCb *cell; -#endif +Void rgSCHPwrCellDel(RgSchCellCb *cell) { UNUSED(cell); /* There is no allocated memory, so do nothing */ - RETVOID; + return; } @@ -2564,19 +2120,7 @@ RgSchCellCb *cell; * -# ROK * -# RFAILED **/ -#ifdef ANSI -S16 rgSCHPwrUeSCellCfg -( -RgSchCellCb *cell, -RgSchUeCb *ue, -RgrUeSecCellCfg *sCellInfoCfg -) -#else -S16 rgSCHPwrUeSCellCfg(cell, ue, sCellInfoCfg) -RgSchCellCb *cell; -RgSchUeCb *ue; -RgrUeSecCellCfg *sCellInfoCfg; -#endif +S16 rgSCHPwrUeSCellCfg(RgSchCellCb *cell,RgSchUeCb *ue,RgrUeSecCellCfg *sCellInfoCfg) { RgSchCmnUlPwrCb *cellPwr = RG_SCH_PWR_GETCELLPWR(cell); RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, cell); @@ -2652,19 +2196,7 @@ RgrUeSecCellCfg *sCellInfoCfg; * -# ROK * -# RFAILED **/ -#ifdef ANSI -S16 rgSCHPwrUeCfg -( -RgSchCellCb *cell, -RgSchUeCb *ue, -RgrUeCfg *cfg -) -#else -S16 rgSCHPwrUeCfg(cell, ue, cfg) -RgSchCellCb *cell; -RgSchUeCb *ue; -RgrUeCfg *cfg; -#endif +S16 rgSCHPwrUeCfg(RgSchCellCb *cell,RgSchUeCb *ue,RgrUeCfg *cfg) { S16 ret; RgSchCmnUlPwrCb *cellPwr = RG_SCH_PWR_GETCELLPWR(cell); @@ -2713,19 +2245,7 @@ RgrUeCfg *cfg; * -# ROK * -# RFAILED **/ -#ifdef ANSI -S16 rgSCHPwrUeRecfg -( -RgSchCellCb *cell, -RgSchUeCb *ue, -RgrUeRecfg *recfg -) -#else -S16 rgSCHPwrUeRecfg(cell, ue, recfg) -RgSchCellCb *cell; -RgSchUeCb *ue; -RgrUeRecfg *recfg; -#endif +S16 rgSCHPwrUeRecfg(RgSchCellCb *cell,RgSchUeCb *ue,RgrUeRecfg *recfg) { S16 ret; RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, cell); @@ -2759,27 +2279,15 @@ RgrUeRecfg *recfg; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE S16 rgSCHPwrApplyUePwrCfg -( -RgSchCellCb *cell, -RgSchUeCb *ue, -RgrUeUlPwrCfg *pwrCfg -) -#else -PRIVATE S16 rgSCHPwrApplyUePwrCfg(cell, ue, pwrCfg) -RgSchCellCb *cell; -RgSchUeCb *ue; -RgrUeUlPwrCfg *pwrCfg; -#endif +static S16 rgSCHPwrApplyUePwrCfg(RgSchCellCb *cell,RgSchUeCb *ue,RgrUeUlPwrCfg *pwrCfg) { S16 ret; RgSchCmnUlUe *ueUl = RG_SCH_CMN_GET_UL_UE(ue, cell); RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, cell); RgSchCmnTpcRntiCb *pucchRntiCb = NULLP; RgSchCmnTpcRntiCb *puschRntiCb = NULLP; - U8 pucchIdx = 0; - U8 puschIdx = 0; + uint8_t pucchIdx = 0; + uint8_t puschIdx = 0; /* Validate Pucch group power control config */ if (pwrCfg->uePucchPwr.pres) @@ -2829,34 +2337,28 @@ RgrUeUlPwrCfg *pwrCfg; } uePwr->pucchIdx = pucchIdx; #ifndef ALIGN_64BIT - RLOG_ARG4(L_UNUSED,DBG_CELLID,cell->cellId, - "PucchRntiCb cfgdUes(%ld %lu %lu) UEID:%d", - pucchRntiCb->cfgdUes.count,((U32)pucchRntiCb->cfgdUes.first), - ((U32)pucchRntiCb->cfgdUes.last),ue->ueId); - RLOG_ARG3(L_UNUSED,DBG_CELLID,cell->cellId, - "UEID:%d isFmt3a(%u) ueNode(%ld)", + DU_LOG("\nDEBUG --> SCH : PucchRntiCb cfgdUes(%ld %lu %lu) UEID:%d", + pucchRntiCb->cfgdUes.count,((uint32_t)pucchRntiCb->cfgdUes.first), + ((uint32_t)pucchRntiCb->cfgdUes.last),ue->ueId); + DU_LOG("\nDEBUG --> SCH : UEID:%d isFmt3a(%u) ueNode(%ld)", ue->ueId,pucchRntiCb->isFmt3a, pucchRntiCb->schdLnk.node); - RLOG_ARG4(L_UNUSED,DBG_CELLID,cell->cellId, - "toBeSchdUes(%ld %lu %lu) tpcRnti(%u)", + DU_LOG("\nDEBUG --> SCH : toBeSchdUes(%ld %lu %lu) tpcRnti(%u)", pucchRntiCb->toBeSchdUes.count, - ((U32)pucchRntiCb->toBeSchdUes.first), - ((U32)pucchRntiCb->toBeSchdUes.last), + ((uint32_t)pucchRntiCb->toBeSchdUes.first), + ((uint32_t)pucchRntiCb->toBeSchdUes.last), pucchRntiCb->tpcRnti); #else - RLOG_ARG4(L_UNUSED,DBG_CELLID,cell->cellId, - "PucchRntiCb cfgdUes(%ld %lu %lu) UEID:%d", - pucchRntiCb->cfgdUes.count,((U64)pucchRntiCb->cfgdUes.first), - ((U64)pucchRntiCb->cfgdUes.last),ue->ueId); - RLOG_ARG3(L_UNUSED,DBG_CELLID,cell->cellId, - "UEID:%d isFmt3a(%u) ueNode(%ld)", + DU_LOG("\nDEBUG --> SCH : PucchRntiCb cfgdUes(%d %lu %lu) UEID:%d", + pucchRntiCb->cfgdUes.count,((uint64_t)pucchRntiCb->cfgdUes.first), + ((uint64_t)pucchRntiCb->cfgdUes.last),ue->ueId); + DU_LOG("\nDEBUG --> SCH : UEID:%d isFmt3a(%u) ueNode(%ld)", ue->ueId,pucchRntiCb->isFmt3a, pucchRntiCb->schdLnk.node); - RLOG_ARG4(L_UNUSED,DBG_CELLID,cell->cellId, - "toBeSchdUes(%ld %lu %lu) tpcRnti(%u)", + DU_LOG("\nDEBUG --> SCH : toBeSchdUes(%d %lu %lu) tpcRnti(%u)", pucchRntiCb->toBeSchdUes.count, - ((U64)pucchRntiCb->toBeSchdUes.first), - ((U64)pucchRntiCb->toBeSchdUes.last), + ((uint64_t)pucchRntiCb->toBeSchdUes.first), + ((uint64_t)pucchRntiCb->toBeSchdUes.last), pucchRntiCb->tpcRnti); #endif @@ -2921,17 +2423,7 @@ RgrUeUlPwrCfg *pwrCfg; * @param[in] RgSchUeCb *ue * @return Void **/ -#ifdef ANSI -Void rgSCHPwrUeDel -( -RgSchCellCb *cell, -RgSchUeCb *ue -) -#else -Void rgSCHPwrUeDel(cell, ue) -RgSchCellCb *cell; -RgSchUeCb *ue; -#endif +Void rgSCHPwrUeDel(RgSchCellCb *cell,RgSchUeCb *ue) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, cell); @@ -2945,7 +2437,7 @@ RgSchUeCb *ue; rgSCHPwrDelUeFrmPuschTpcRntiCb(cell, uePwr->tpcPuschRntiCb, ue); uePwr->tpcPuschRntiCb = NULLP; } - RETVOID; + return; } /** @@ -2966,22 +2458,12 @@ RgSchUeCb *ue; * @param[in] RgSchUeCb *ue * @return Void **/ -#ifdef ANSI -Void rgSCHPwrUeReset -( -RgSchCellCb *cell, -RgSchUeCb *ue -) -#else -Void rgSCHPwrUeReset(cell, ue) -RgSchCellCb *cell; -RgSchUeCb *ue; -#endif +Void rgSCHPwrUeReset(RgSchCellCb *cell,RgSchUeCb *ue) { rgSCHPwrUeResetPucch(cell, ue); rgSCHPwrUeResetPusch(cell, ue); - RETVOID; + return; } /*********************************************************** @@ -2998,17 +2480,7 @@ RgSchUeCb *ue; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrUeResetPucch -( -RgSchCellCb *cell, -RgSchUeCb *ue -) -#else -PRIVATE Void rgSCHPwrUeResetPucch(cell, ue) -RgSchCellCb *cell; -RgSchUeCb *ue; -#endif +static Void rgSCHPwrUeResetPucch(RgSchCellCb *cell,RgSchUeCb *ue) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, cell); @@ -3020,7 +2492,7 @@ RgSchUeCb *ue; } /* Stack Crash problem for TRACE5 changes. Added the line below */ - RETVOID; + return; } @@ -3038,17 +2510,7 @@ RgSchUeCb *ue; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrUeResetPusch -( -RgSchCellCb *cell, -RgSchUeCb *ue -) -#else -PRIVATE Void rgSCHPwrUeResetPusch(cell, ue) -RgSchCellCb *cell; -RgSchUeCb *ue; -#endif +static Void rgSCHPwrUeResetPusch(RgSchCellCb *cell,RgSchUeCb *ue) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, cell); @@ -3062,7 +2524,7 @@ RgSchUeCb *ue; { rgSCHPwrRmvSchdUeFrmPuschTpcRntiCb(cell, uePwr->tpcPuschRntiCb, ue); } - RETVOID; + return; } /*********************************************************** @@ -3079,17 +2541,7 @@ RgSchUeCb *ue; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrOnPuschPwrUpd -( -RgSchCellCb *cell, -RgSchUeCb *ue -) -#else -PRIVATE Void rgSCHPwrOnPuschPwrUpd(cell, ue) -RgSchCellCb *cell; -RgSchUeCb *ue; -#endif +static Void rgSCHPwrOnPuschPwrUpd(RgSchCellCb *cell,RgSchUeCb *ue) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, cell); RgSchCmnTpcRntiCb *cb; @@ -3097,7 +2549,7 @@ RgSchUeCb *ue; if ((cb = uePwr->tpcPuschRntiCb) == NULLP) { - RETVOID; + return; } /* Not checking for uwPwr->isPhrAvail as uePwr->phVal @@ -3120,7 +2572,7 @@ RgSchUeCb *ue; rgSCHPwrRmvSchdUeFrmPuschTpcRntiCb(cell, cb, ue); } - RETVOID; + return; } @@ -3140,25 +2592,13 @@ RgSchUeCb *ue; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrAddRntiToPucchRntiLst -( -RgSchCellCb *cell, -CmLteRnti rnti, -Bool isFmt3a -) -#else -PRIVATE Void rgSCHPwrAddRntiToPucchRntiLst(cell, rnti, isFmt3a) -RgSchCellCb *cell; -CmLteRnti rnti; -Bool isFmt3a; -#endif +static Void rgSCHPwrAddRntiToPucchRntiLst(RgSchCellCb *cell,CmLteRnti rnti,Bool isFmt3a) { RgSchCmnUlPwrCb *cellPwr = RG_SCH_PWR_GETCELLPWR(cell); rgSCHPwrInitTpcRntiCb(&cellPwr->tpcPucchRntiLst[cellPwr->tpcPucchRntiCnt++], rnti, isFmt3a); - RETVOID; + return; } /*********************************************************** @@ -3177,25 +2617,13 @@ Bool isFmt3a; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrAddRntiToPuschRntiLst -( -RgSchCellCb *cell, -CmLteRnti rnti, -Bool isFmt3a -) -#else -PRIVATE Void rgSCHPwrAddRntiToPuschRntiLst(cell, rnti, isFmt3a) -RgSchCellCb *cell; -CmLteRnti rnti; -Bool isFmt3a; -#endif +static Void rgSCHPwrAddRntiToPuschRntiLst(RgSchCellCb *cell,CmLteRnti rnti,Bool isFmt3a) { RgSchCmnUlPwrCb *cellPwr = RG_SCH_PWR_GETCELLPWR(cell); rgSCHPwrInitTpcRntiCb(&cellPwr->tpcPuschRntiLst[cellPwr->tpcPuschRntiCnt++], rnti, isFmt3a); - RETVOID; + return; } /*********************************************************** @@ -3212,19 +2640,7 @@ Bool isFmt3a; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrInitTpcRntiCb -( -RgSchCmnTpcRntiCb *cb, -CmLteRnti rnti, -Bool isFmt3a -) -#else -PRIVATE Void rgSCHPwrInitTpcRntiCb(cb, rnti, isFmt3a) -RgSchCmnTpcRntiCb *cb; -CmLteRnti rnti; -Bool isFmt3a; -#endif +static Void rgSCHPwrInitTpcRntiCb(RgSchCmnTpcRntiCb *cb,CmLteRnti rnti,Bool isFmt3a) { memset(cb, 0, sizeof(*cb)); @@ -3232,7 +2648,7 @@ Bool isFmt3a; cb->isFmt3a = isFmt3a; /* Not initialising lists as memset 0 takes care of it */ /* cb->schdLnk.node is set when this rnti is to be scheduled */ - RETVOID; + return; } /*********************************************************** @@ -3250,20 +2666,10 @@ Bool isFmt3a; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE RgSchCmnTpcRntiCb* rgSCHPwrGetPucchRntiCb -( -RgSchCellCb *cell, -CmLteRnti tpcRnti -) -#else -PRIVATE RgSchCmnTpcRntiCb* rgSCHPwrGetPucchRntiCb(cell, tpcRnti) -RgSchCellCb *cell; -CmLteRnti tpcRnti; -#endif +static RgSchCmnTpcRntiCb* rgSCHPwrGetPucchRntiCb(RgSchCellCb *cell,CmLteRnti tpcRnti) { - RgSchCmnUlPwrCb *cellPwr = RG_SCH_PWR_GETCELLPWR(cell); - U16 idx; + RgSchCmnUlPwrCb *cellPwr = RG_SCH_PWR_GETCELLPWR(cell); + uint16_t idx; if (!cellPwr->tpcPucchRntiCnt) { @@ -3294,20 +2700,10 @@ CmLteRnti tpcRnti; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE RgSchCmnTpcRntiCb* rgSCHPwrGetPuschRntiCb -( -RgSchCellCb *cell, -CmLteRnti tpcRnti -) -#else -PRIVATE RgSchCmnTpcRntiCb* rgSCHPwrGetPuschRntiCb(cell, tpcRnti) -RgSchCellCb *cell; -CmLteRnti tpcRnti; -#endif +static RgSchCmnTpcRntiCb* rgSCHPwrGetPuschRntiCb(RgSchCellCb *cell,CmLteRnti tpcRnti) { - RgSchCmnUlPwrCb *cellPwr = RG_SCH_PWR_GETCELLPWR(cell); - U16 idx; + RgSchCmnUlPwrCb *cellPwr = RG_SCH_PWR_GETCELLPWR(cell); + uint16_t idx; if (!cellPwr->tpcPuschRntiCnt) { @@ -3338,26 +2734,14 @@ CmLteRnti tpcRnti; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrAddUeToPucchTpcRntiCb -( -RgSchCellCb *cell, -RgSchCmnTpcRntiCb *cb, -RgSchUeCb *ue -) -#else -PRIVATE Void rgSCHPwrAddUeToPucchTpcRntiCb(cell, cb, ue) -RgSchCellCb *cell; -RgSchCmnTpcRntiCb *cb; -RgSchUeCb *ue; -#endif +static Void rgSCHPwrAddUeToPucchTpcRntiCb(RgSchCellCb *cell,RgSchCmnTpcRntiCb *cb,RgSchUeCb *ue) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, ue->cell); UNUSED(cell); cmLListAdd2Tail(&cb->cfgdUes, &uePwr->pucchGrpLnk); uePwr->pucchGrpLnk.node = (PTR)ue; - RETVOID; + return; } /*********************************************************** @@ -3374,26 +2758,14 @@ RgSchUeCb *ue; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrDelUeFrmPucchTpcRntiCb -( -RgSchCellCb *cell, -RgSchCmnTpcRntiCb *cb, -RgSchUeCb *ue -) -#else -PRIVATE Void rgSCHPwrDelUeFrmPucchTpcRntiCb(cell, cb, ue) -RgSchCellCb *cell; -RgSchCmnTpcRntiCb *cb; -RgSchUeCb *ue; -#endif +static Void rgSCHPwrDelUeFrmPucchTpcRntiCb(RgSchCellCb *cell,RgSchCmnTpcRntiCb *cb,RgSchUeCb *ue) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, ue->cell); rgSCHPwrRmvSchdUeFrmPucchTpcRntiCb(cell, cb, ue); cmLListDelFrm(&cb->cfgdUes, &uePwr->pucchGrpLnk); uePwr->pucchGrpLnk.node = NULLP; - RETVOID; + return; } /*********************************************************** @@ -3411,32 +2783,20 @@ RgSchUeCb *ue; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrRmvSchdUeFrmPucchTpcRntiCb -( -RgSchCellCb *cell, -RgSchCmnTpcRntiCb *cb, -RgSchUeCb *ue -) -#else -PRIVATE Void rgSCHPwrRmvSchdUeFrmPucchTpcRntiCb(cell, cb, ue) -RgSchCellCb *cell; -RgSchCmnTpcRntiCb *cb; -RgSchUeCb *ue; -#endif +static Void rgSCHPwrRmvSchdUeFrmPucchTpcRntiCb(RgSchCellCb *cell,RgSchCmnTpcRntiCb *cb,RgSchUeCb *ue) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, ue->cell); if (uePwr->schdPucchGrpLnk.node == NULLP) { - RETVOID; + return; } rgSCHPwrRmvSchdUeOnlyFrmPucchTpcRntiCb(cell, cb, ue); if (!cb->toBeSchdUes.count) { rgSCHPwrRmvSchdPucchTpcRntiCb(cell, cb); } - RETVOID; + return; } /*********************************************************** @@ -3455,19 +2815,7 @@ RgSchUeCb *ue; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrRmvSchdUeOnlyFrmPucchTpcRntiCb -( -RgSchCellCb *cell, -RgSchCmnTpcRntiCb *cb, -RgSchUeCb *ue -) -#else -PRIVATE Void rgSCHPwrRmvSchdUeOnlyFrmPucchTpcRntiCb(cell, cb, ue) -RgSchCellCb *cell; -RgSchCmnTpcRntiCb *cb; -RgSchUeCb *ue; -#endif +static Void rgSCHPwrRmvSchdUeOnlyFrmPucchTpcRntiCb(RgSchCellCb *cell,RgSchCmnTpcRntiCb *cb,RgSchUeCb *ue) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, ue->cell); @@ -3476,7 +2824,7 @@ RgSchUeCb *ue; cmLListDelFrm(&cb->toBeSchdUes, &uePwr->schdPucchGrpLnk); uePwr->schdPucchGrpLnk.node = NULLP; } - RETVOID; + return; } /*********************************************************** @@ -3493,27 +2841,17 @@ RgSchUeCb *ue; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrRmvSchdPucchTpcRntiCb -( -RgSchCellCb *cell, -RgSchCmnTpcRntiCb *cb -) -#else -PRIVATE Void rgSCHPwrRmvSchdPucchTpcRntiCb(cell, cb) -RgSchCellCb *cell; -RgSchCmnTpcRntiCb *cb; -#endif +static Void rgSCHPwrRmvSchdPucchTpcRntiCb(RgSchCellCb *cell,RgSchCmnTpcRntiCb *cb) { RgSchCmnUlPwrCb *cellPwr = RG_SCH_PWR_GETCELLPWR(cell); if (cb->schdLnk.node == NULLP) { - RETVOID; + return; } cmLListDelFrm(&cellPwr->pucchGrpPwr, &cb->schdLnk); cb->schdLnk.node = NULLP; - RETVOID; + return; } /*********************************************************** @@ -3530,26 +2868,14 @@ RgSchCmnTpcRntiCb *cb; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrAddSchdUeToPucchTpcRntiCb -( -RgSchCellCb *cell, -RgSchCmnTpcRntiCb *cb, -RgSchUeCb *ue -) -#else -PRIVATE Void rgSCHPwrAddSchdUeToPucchTpcRntiCb(cell, cb, ue) -RgSchCellCb *cell; -RgSchCmnTpcRntiCb *cb; -RgSchUeCb *ue; -#endif +static Void rgSCHPwrAddSchdUeToPucchTpcRntiCb(RgSchCellCb *cell,RgSchCmnTpcRntiCb *cb,RgSchUeCb *ue) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, ue->cell); if (uePwr->schdPucchGrpLnk.node != NULLP) { /* UE is already in the list */ - RETVOID; + return; } cmLListAdd2Tail(&cb->toBeSchdUes, &uePwr->schdPucchGrpLnk); uePwr->schdPucchGrpLnk.node = (PTR)ue; @@ -3559,7 +2885,7 @@ RgSchUeCb *ue; * for scheduling */ rgSCHPwrAddSchdPucchTpcRntiCb(cell, cb); } - RETVOID; + return; } /*********************************************************** @@ -3576,23 +2902,13 @@ RgSchUeCb *ue; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrAddSchdPucchTpcRntiCb -( -RgSchCellCb *cell, -RgSchCmnTpcRntiCb *cb -) -#else -PRIVATE Void rgSCHPwrAddSchdPucchTpcRntiCb(cell, cb) -RgSchCellCb *cell; -RgSchCmnTpcRntiCb *cb; -#endif +static Void rgSCHPwrAddSchdPucchTpcRntiCb(RgSchCellCb *cell,RgSchCmnTpcRntiCb *cb) { RgSchCmnUlPwrCb *cellPwr = RG_SCH_PWR_GETCELLPWR(cell); cmLListAdd2Tail(&cellPwr->pucchGrpPwr, &cb->schdLnk); cb->schdLnk.node = (PTR)cb; - RETVOID; + return; } @@ -3610,23 +2926,13 @@ RgSchCmnTpcRntiCb *cb; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrAddUeToPuschTpcRntiCb -( -RgSchCmnTpcRntiCb *cb, -RgSchUeCb *ue -) -#else -PRIVATE Void rgSCHPwrAddUeToPuschTpcRntiCb(cb, ue) -RgSchCmnTpcRntiCb *cb; -RgSchUeCb *ue; -#endif +static Void rgSCHPwrAddUeToPuschTpcRntiCb(RgSchCmnTpcRntiCb *cb,RgSchUeCb *ue) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, ue->cell); cmLListAdd2Tail(&cb->cfgdUes, &uePwr->puschGrpLnk); uePwr->puschGrpLnk.node = (PTR)ue; - RETVOID; + return; } /*********************************************************** @@ -3643,26 +2949,14 @@ RgSchUeCb *ue; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrAddSchdUeToPuschTpcRntiCb -( -RgSchCellCb *cell, -RgSchCmnTpcRntiCb *cb, -RgSchUeCb *ue -) -#else -PRIVATE Void rgSCHPwrAddSchdUeToPuschTpcRntiCb(cell, cb, ue) -RgSchCellCb *cell; -RgSchCmnTpcRntiCb *cb; -RgSchUeCb *ue; -#endif +static Void rgSCHPwrAddSchdUeToPuschTpcRntiCb(RgSchCellCb *cell,RgSchCmnTpcRntiCb *cb,RgSchUeCb *ue) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, ue->cell); if (uePwr->schdPuschGrpLnk.node != NULLP) { /* UE is already in the list */ - RETVOID; + return; } cmLListAdd2Tail(&cb->toBeSchdUes, &uePwr->schdPuschGrpLnk); uePwr->schdPuschGrpLnk.node = (PTR)ue; @@ -3672,7 +2966,7 @@ RgSchUeCb *ue; * for scheduling */ rgSCHPwrAddSchdPuschTpcRntiCb(cell, cb); } - RETVOID; + return; } /*********************************************************** @@ -3689,26 +2983,14 @@ RgSchUeCb *ue; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrDelUeFrmPuschTpcRntiCb -( -RgSchCellCb *cell, -RgSchCmnTpcRntiCb *cb, -RgSchUeCb *ue -) -#else -PRIVATE Void rgSCHPwrDelUeFrmPuschTpcRntiCb(cell, cb, ue) -RgSchCellCb *cell; -RgSchCmnTpcRntiCb *cb; -RgSchUeCb *ue; -#endif +static Void rgSCHPwrDelUeFrmPuschTpcRntiCb(RgSchCellCb *cell,RgSchCmnTpcRntiCb *cb,RgSchUeCb *ue) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, ue->cell); rgSCHPwrRmvSchdUeFrmPuschTpcRntiCb(cell, cb, ue); cmLListDelFrm(&cb->cfgdUes, &uePwr->puschGrpLnk); uePwr->puschGrpLnk.node = NULLP; - RETVOID; + return; } /*********************************************************** @@ -3725,32 +3007,20 @@ RgSchUeCb *ue; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrRmvSchdUeFrmPuschTpcRntiCb -( -RgSchCellCb *cell, -RgSchCmnTpcRntiCb *cb, -RgSchUeCb *ue -) -#else -PRIVATE Void rgSCHPwrRmvSchdUeFrmPuschTpcRntiCb(cell, cb, ue) -RgSchCellCb *cell; -RgSchCmnTpcRntiCb *cb; -RgSchUeCb *ue; -#endif +static Void rgSCHPwrRmvSchdUeFrmPuschTpcRntiCb(RgSchCellCb *cell,RgSchCmnTpcRntiCb *cb,RgSchUeCb *ue) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, ue->cell); if (uePwr->schdPuschGrpLnk.node == NULLP) { - RETVOID; + return; } rgSCHPwrRmvSchdUeOnlyFrmPuschTpcRntiCb(cell, cb, ue); if (!cb->toBeSchdUes.count) { rgSCHPwrRmvSchdPuschTpcRntiCb(cell, cb); } - RETVOID; + return; } /*********************************************************** @@ -3769,19 +3039,7 @@ RgSchUeCb *ue; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrRmvSchdUeOnlyFrmPuschTpcRntiCb -( -RgSchCellCb *cell, -RgSchCmnTpcRntiCb *cb, -RgSchUeCb *ue -) -#else -PRIVATE Void rgSCHPwrRmvSchdUeOnlyFrmPuschTpcRntiCb(cell, cb, ue) -RgSchCellCb *cell; -RgSchCmnTpcRntiCb *cb; -RgSchUeCb *ue; -#endif +static Void rgSCHPwrRmvSchdUeOnlyFrmPuschTpcRntiCb(RgSchCellCb *cell,RgSchCmnTpcRntiCb *cb,RgSchUeCb *ue) { RgSchCmnUeUlPwrCb *uePwr = RG_SCH_PWR_GETUEPWR(ue, ue->cell); @@ -3790,7 +3048,7 @@ RgSchUeCb *ue; cmLListDelFrm(&cb->toBeSchdUes, &uePwr->schdPuschGrpLnk); uePwr->schdPuschGrpLnk.node = NULLP; } - RETVOID; + return; } /*********************************************************** @@ -3807,23 +3065,13 @@ RgSchUeCb *ue; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrAddSchdPuschTpcRntiCb -( -RgSchCellCb *cell, -RgSchCmnTpcRntiCb *cb -) -#else -PRIVATE Void rgSCHPwrAddSchdPuschTpcRntiCb(cell, cb) -RgSchCellCb *cell; -RgSchCmnTpcRntiCb *cb; -#endif +static Void rgSCHPwrAddSchdPuschTpcRntiCb(RgSchCellCb *cell,RgSchCmnTpcRntiCb *cb) { RgSchCmnUlPwrCb *cellPwr = RG_SCH_PWR_GETCELLPWR(cell); cmLListAdd2Tail(&cellPwr->puschGrpPwr, &cb->schdLnk); cb->schdLnk.node = (PTR)cb; - RETVOID; + return; } /*********************************************************** @@ -3840,27 +3088,17 @@ RgSchCmnTpcRntiCb *cb; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE Void rgSCHPwrRmvSchdPuschTpcRntiCb -( -RgSchCellCb *cell, -RgSchCmnTpcRntiCb *cb -) -#else -PRIVATE Void rgSCHPwrRmvSchdPuschTpcRntiCb(cell, cb) -RgSchCellCb *cell; -RgSchCmnTpcRntiCb *cb; -#endif +static Void rgSCHPwrRmvSchdPuschTpcRntiCb(RgSchCellCb *cell,RgSchCmnTpcRntiCb *cb) { RgSchCmnUlPwrCb *cellPwr = RG_SCH_PWR_GETCELLPWR(cell); if (cb->schdLnk.node == NULLP) { - RETVOID; + return; } cmLListDelFrm(&cellPwr->puschGrpPwr, &cb->schdLnk); cb->schdLnk.node = NULLP; - RETVOID; + return; } /*********************************************************** @@ -3878,17 +3116,7 @@ RgSchCmnTpcRntiCb *cb; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE S16 rgSCHPwrChkPucchTpcRntiIdx -( -RgSchCmnTpcRntiCb *cb, -U8 idx -) -#else -PRIVATE S16 rgSCHPwrChkPucchTpcRntiIdx(cb, idx) -RgSchCmnTpcRntiCb *cb; -U8 idx; -#endif +static S16 rgSCHPwrChkPucchTpcRntiIdx(RgSchCmnTpcRntiCb *cb,uint8_t idx) { if (rgSCHPwrChkTpcRntiIdx(cb, idx) != ROK) @@ -3917,17 +3145,7 @@ U8 idx; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE S16 rgSCHPwrChkPuschTpcRntiIdx -( -RgSchCmnTpcRntiCb *cb, -U8 idx -) -#else -PRIVATE S16 rgSCHPwrChkPuschTpcRntiIdx(cb, idx) -RgSchCmnTpcRntiCb *cb; -U8 idx; -#endif +static S16 rgSCHPwrChkPuschTpcRntiIdx(RgSchCmnTpcRntiCb *cb,uint8_t idx) { if (rgSCHPwrChkTpcRntiIdx(cb, idx) != ROK) @@ -3954,17 +3172,7 @@ U8 idx; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE S16 rgSCHPwrChkUniqPucchTpcRntiIdx -( -RgSchCmnTpcRntiCb *cb, -U8 idx -) -#else -PRIVATE S16 rgSCHPwrChkUniqPucchTpcRntiIdx(cb, idx) -RgSchCmnTpcRntiCb *cb; -U8 idx; -#endif +static S16 rgSCHPwrChkUniqPucchTpcRntiIdx(RgSchCmnTpcRntiCb *cb,uint8_t idx) { CmLList *lnk; @@ -3993,17 +3201,7 @@ U8 idx; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE S16 rgSCHPwrChkUniqPuschTpcRntiIdx -( -RgSchCmnTpcRntiCb *cb, -U8 idx -) -#else -PRIVATE S16 rgSCHPwrChkUniqPuschTpcRntiIdx(cb, idx) -RgSchCmnTpcRntiCb *cb; -U8 idx; -#endif +static S16 rgSCHPwrChkUniqPuschTpcRntiIdx(RgSchCmnTpcRntiCb *cb,uint8_t idx) { CmLList *lnk; @@ -4032,17 +3230,7 @@ U8 idx; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE S16 rgSCHPwrChkTpcRntiIdx -( -RgSchCmnTpcRntiCb *cb, -U8 idx -) -#else -PRIVATE S16 rgSCHPwrChkTpcRntiIdx(cb, idx) -RgSchCmnTpcRntiCb *cb; -U8 idx; -#endif +static S16 rgSCHPwrChkTpcRntiIdx(RgSchCmnTpcRntiCb *cb,uint8_t idx) { if (cb->isFmt3a) { @@ -4077,15 +3265,7 @@ U8 idx; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE S8 rgSCHPwrGetPCMaxValFromPCMax -( -U8 pCMax -) -#else -PRIVATE S8 rgSCHPwrGetPCMaxValFromPCMax(pCMax) -U8 pCMax; -#endif +static S8 rgSCHPwrGetPCMaxValFromPCMax(uint8_t pCMax) { return ((pCMax & 63) - 30); } @@ -4107,15 +3287,7 @@ U8 pCMax; * File : * **********************************************************/ -#ifdef ANSI -PRIVATE S8 rgSCHPwrGetPhValFromPhr -( -U8 phr -) -#else -PRIVATE S8 rgSCHPwrGetPhValFromPhr(phr) -U8 phr; -#endif +static S8 rgSCHPwrGetPhValFromPhr(uint8_t phr) { return ((phr & 63) - 23); }