X-Git-Url: https://gerrit.o-ran-sc.org/r/gitweb?a=blobdiff_plain;f=fhi_lib%2Ftest%2Ftest_xran%2Fconf.json;h=d71253c6fe711cc5720f8c4e8057ae8454628541;hb=2de97529a4c5a1922214ba0e6f0fb84cacbd0bc7;hp=039415bdea1f6051b43e93b5586cc6f0799185ff;hpb=2fbf70096f64af622da983e88c5a64e90ad9bdbd;p=o-du%2Fphy.git diff --git a/fhi_lib/test/test_xran/conf.json b/fhi_lib/test/test_xran/conf.json index 039415b..d71253c 100644 --- a/fhi_lib/test/test_xran/conf.json +++ b/fhi_lib/test/test_xran/conf.json @@ -11,7 +11,8 @@ "pkt_aux_core": 0, "dpdkBasebandFecMode": 0, "dpdkBasebandDevice": "", - "mtu": 9600, + "dpdkMemorySize": 8192, + "mtu": 1500, "o_du_macaddr": "00:11:22:33:44:66", "o_ru_macaddr": "00:11:22:33:44:55", "cp_vlan_tag": 1, @@ -47,7 +48,7 @@ "iq_width": 16, "comp_meth": 0, "fft_size": 1024, - "totalBfWeights": 32, + "totalBfWeights": 64, "Tadv_cp_dl": 0, "T2a_min_cp_dl": 0, "T2a_max_cp_dl": 0, @@ -108,12 +109,390 @@ ], "C_Plane": [ + { + "name": "DL_StockA", + "parameters": { + "direction": "DL", + "section_type": 1, + "cc_id": 0, + "ant_id": 0, + "seq_id": 0, + "frame_id": 0, + "subframe_id": 0, + "slot_id": 0, + "symbol_start": 0, + "comp_method": 0, + "iq_width": 16, + "sections": [ + { + "sectionId": 1, + "rb": 0, + "symInc": 0, + "startPrbc": 0, + "numPrbc": 273, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0, + "exts": [ ] + } + ] + } + }, + { + "name": "DL_StockB", + "parameters": { + "direction": "DL", + "section_type": 1, + "cc_id": 0, + "ant_id": 0, + "seq_id": 0, + "frame_id": 0, + "subframe_id": 0, + "slot_id": 0, + "symbol_start": 0, + "comp_method": 0, + "iq_width": 16, + "sections": [ + { + "sectionId": 1, + "rb": 0, + "symInc": 0, + "startPrbc": 0, + "numPrbc": 7, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + }, + { + "sectionId": 2, + "rb": 0, + "symInc": 0, + "startPrbc": 7, + "numPrbc": 255, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + } + ] + } + }, + { + "name": "DL_StockC", + "parameters": { + "direction": "DL", + "section_type": 1, + "cc_id": 0, + "ant_id": 0, + "seq_id": 0, + "frame_id": 0, + "subframe_id": 0, + "slot_id": 0, + "symbol_start": 0, + "comp_method": 0, + "iq_width": 16, + "sections": [ + { + "sectionId": 1, + "rb": 0, + "symInc": 0, + "startPrbc": 0, + "numPrbc": 4, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + }, + { + "sectionId": 2, + "rb": 0, + "symInc": 0, + "startPrbc": 8, + "numPrbc": 255, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + } + ] + } + }, + { + "name": "DL_StockD", + "parameters": { + "direction": "DL", + "section_type": 1, + "cc_id": 0, + "ant_id": 0, + "seq_id": 0, + "frame_id": 0, + "subframe_id": 0, + "slot_id": 0, + "symbol_start": 6, + "comp_method": 0, + "iq_width": 16, + "sections": [ + { + "sectionId": 1, + "rb": 0, + "symInc": 0, + "startPrbc": 0, + "numPrbc": 1, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + }, + { + "sectionId": 2, + "rb": 0, + "symInc": 0, + "startPrbc": 1, + "numPrbc": 4, + "reMask": 2730, + "numSymbol": 1, + "beamId": 0 + }, + { + "sectionId": 3, + "rb": 1, + "symInc": 0, + "startPrbc": 5, + "numPrbc": 10, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + }, + { + "sectionId": 4, + "rb": 1, + "symInc": 0, + "startPrbc": 6, + "numPrbc": 9, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + }, + { + "sectionId": 5, + "rb": 0, + "symInc": 0, + "startPrbc": 24, + "numPrbc": 46, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + }, + { + "sectionId": 6, + "rb": 0, + "symInc": 0, + "startPrbc": 66, + "numPrbc": 207, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + } + ] + } + }, + { + "name": "DL_StockE", + "parameters": { + "direction": "DL", + "section_type": 1, + "cc_id": 0, + "ant_id": 0, + "seq_id": 0, + "frame_id": 0, + "subframe_id": 0, + "slot_id": 0, + "symbol_start": 5, + "comp_method": 0, + "iq_width": 16, + "sections": [ + { + "sectionId": 1, + "rb": 0, + "symInc": 0, + "startPrbc": 0, + "numPrbc": 7, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + }, + { + "sectionId": 2, + "rb": 0, + "symInc": 0, + "startPrbc": 7, + "numPrbc": 255, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + }, + { + "sectionId": 3, + "rb": 0, + "symInc": 1, + "startPrbc": 0, + "numPrbc": 7, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + }, + { + "sectionId": 4, + "rb": 0, + "symInc": 0, + "startPrbc": 7, + "numPrbc": 255, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + } + ] + } + }, + { + "name": "DL_StockF", + "parameters": { + "direction": "DL", + "section_type": 1, + "cc_id": 0, + "ant_id": 0, + "seq_id": 0, + "frame_id": 0, + "subframe_id": 0, + "slot_id": 0, + "symbol_start": 5, + "comp_method": 0, + "iq_width": 16, + "sections": [ + { + "sectionId": 1, + "rb": 0, + "symInc": 0, + "startPrbc": 0, + "numPrbc": 20, + "reMask": 2730, + "numSymbol": 1, + "beamId": 0 + }, + { + "sectionId": 2, + "rb": 0, + "symInc": 0, + "startPrbc": 25, + "numPrbc": 15, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + }, + { + "sectionId": 3, + "rb": 0, + "symInc": 1, + "startPrbc": 40, + "numPrbc": 95, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + }, + { + "sectionId": 4, + "rb": 0, + "symInc": 0, + "startPrbc": 162, + "numPrbc": 111, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + }, + { + "sectionId": 5, + "rb": 0, + "symInc": 1, + "startPrbc": 0, + "numPrbc": 5, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + }, + { + "sectionId": 6, + "rb": 0, + "symInc": 0, + "startPrbc": 25, + "numPrbc": 15, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + }, + { + "sectionId": 7, + "rb": 0, + "symInc": 1, + "startPrbc": 40, + "numPrbc": 95, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + }, + { + "sectionId": 8, + "rb": 0, + "symInc": 0, + "startPrbc": 162, + "numPrbc": 111, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + }, + { + "sectionId": 9, + "rb": 0, + "symInc": 1, + "startPrbc": 0, + "numPrbc": 5, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + }, + { + "sectionId": 10, + "rb": 0, + "symInc": 0, + "startPrbc": 10, + "numPrbc": 30, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + }, + { + "sectionId": 11, + "rb": 0, + "symInc": 1, + "startPrbc": 40, + "numPrbc": 95, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + }, + { + "sectionId": 12, + "rb": 0, + "symInc": 0, + "startPrbc": 162, + "numPrbc": 111, + "reMask": 4095, + "numSymbol": 1, + "beamId": 0 + } + ] + } + }, { "name": "DL_SectionType1_SingleSection", "parameters": { "direction": "DL", "section_type": 1, - "num_sections": 1, "cc_id": 0, "ant_id": 0, "seq_id": 0, @@ -121,13 +500,20 @@ "subframe_id": 0, "slot_id": 0, "symbol_start": 0, - "symbol_num": 14, - "prb_start": [ 0 ], - "prb_num": [ 66 ], "comp_method": 0, - "beam_id": 0, - "section_id": 0, - "iq_width": 16 + "iq_width": 16, + "sections": [ + { + "sectionId": 1, + "rb": 0, + "symInc": 0, + "startPrbc": 0, + "numPrbc": 66, + "reMask": 4095, + "numSymbol": 14, + "beamId": 0 + } + ] } }, { @@ -135,7 +521,6 @@ "parameters": { "direction": "UL", "section_type": 1, - "num_sections": 1, "cc_id": 0, "ant_id": 0, "seq_id": 0, @@ -143,13 +528,20 @@ "subframe_id": 0, "slot_id": 0, "symbol_start": 0, - "symbol_num": 14, - "prb_start": [ 0 ], - "prb_num": [ 66 ], "comp_method": 0, - "beam_id": 0, - "section_id": 0, - "iq_width": 16 + "iq_width": 16, + "sections": [ + { + "sectionId": 1, + "rb": 0, + "symInc": 0, + "startPrbc": 0, + "numPrbc": 66, + "reMask": 4095, + "numSymbol": 14, + "beamId": 0 + } + ] } }, { @@ -157,7 +549,6 @@ "parameters": { "direction": "DL", "section_type": 1, - "num_sections": 3, "cc_id": 0, "ant_id": 0, "seq_id": 0, @@ -165,13 +556,40 @@ "subframe_id": 0, "slot_id": 0, "symbol_start": 0, - "symbol_num": 14, - "prb_start": [ 0, 22, 44 ], - "prb_num": [ 22, 22, 22 ], "comp_method": 0, - "beam_id": 0, - "section_id": 0, - "iq_width": 16 + "iq_width": 16, + "sections": [ + { + "sectionId": 1, + "rb": 0, + "symInc": 0, + "startPrbc": 0, + "numPrbc": 22, + "reMask": 4095, + "numSymbol": 14, + "beamId": 0 + }, + { + "sectionId": 2, + "rb": 0, + "symInc": 0, + "startPrbc": 22, + "numPrbc": 22, + "reMask": 4095, + "numSymbol": 14, + "beamId": 0 + }, + { + "sectionId": 3, + "rb": 0, + "symInc": 0, + "startPrbc": 44, + "numPrbc": 22, + "reMask": 4095, + "numSymbol": 14, + "beamId": 0 + } + ] } }, { @@ -179,7 +597,6 @@ "parameters": { "direction": "UL", "section_type": 1, - "num_sections": 4, "cc_id": 0, "ant_id": 0, "seq_id": 0, @@ -187,13 +604,429 @@ "subframe_id": 0, "slot_id": 0, "symbol_start": 0, - "symbol_num": 14, - "prb_start": [ 0, 25, 50, 75 ], - "prb_num": [ 25, 25, 25, 25 ], "comp_method": 0, - "beam_id": 0, - "section_id": 0, - "iq_width": 16 + "iq_width": 16, + "sections": [ + { + "sectionId": 1, + "rb": 0, + "symInc": 0, + "startPrbc": 0, + "numPrbc": 25, + "reMask": 4095, + "numSymbol": 14, + "beamId": 0 + }, + { + "sectionId": 2, + "rb": 0, + "symInc": 0, + "startPrbc": 25, + "numPrbc": 25, + "reMask": 4095, + "numSymbol": 14, + "beamId": 0 + }, + { + "sectionId": 3, + "rb": 0, + "symInc": 0, + "startPrbc": 50, + "numPrbc": 25, + "reMask": 4095, + "numSymbol": 14, + "beamId": 0 + }, + { + "sectionId": 4, + "rb": 0, + "symInc": 0, + "startPrbc": 75, + "numPrbc": 25, + "reMask": 4095, + "numSymbol": 14, + "beamId": 0 + } + ] + } + }, + { + "name": "DL_SectionType1_SingleSection_Ext3", + "parameters": { + "direction": "DL", + "section_type": 1, + "cc_id": 0, + "ant_id": 0, + "seq_id": 0, + "frame_id": 0, + "subframe_id": 0, + "slot_id": 0, + "symbol_start": 0, + "comp_method": 0, + "iq_width": 16, + "sections": [ + { + "sectionId": 1, + "rb": 0, + "symInc": 0, + "startPrbc": 0, + "numPrbc": 273, + "reMask": 4095, + "numSymbol": 14, + "beamId": 0, + "exts": [ 0 ] + } + ], + "extensions": [ + { + "name": "ext3", + "type": 3, + "codebookIdx": 165, + "layerId": 2, + "numLayers": 2, + "txScheme": 1, + "crsReMask": 10, + "crsShift": 0, + "crsSymNum": 5, + "numAntPort": 4, + "beamIdAP1": 100, + "beamIdAP2": 200, + "beamIdAP3": 300 + } + ] + } + }, + { + "name": "DL_SectionType1_SingleSection_Ext6", + "parameters": { + "direction": "DL", + "section_type": 1, + "cc_id": 0, + "ant_id": 0, + "seq_id": 0, + "frame_id": 0, + "subframe_id": 0, + "slot_id": 0, + "symbol_start": 0, + "comp_method": 0, + "iq_width": 16, + "sections": [ + { + "sectionId": 1, + "rb": 0, + "symInc": 0, + "startPrbc": 0, + "numPrbc": 273, + "reMask": 4095, + "numSymbol": 14, + "beamId": 0, + "exts": [ 0 ] + } + ], + "extensions": [ + { + "name": "ext6", + "type": 6, + "rbgSize": 6, + "rbgMask": 173693530, + "symbolMask": 16383 + } + ] + } + }, + { + "name": "DL_SectionType1_SingleSection_Ext10", + "parameters": { + "direction": "DL", + "section_type": 1, + "cc_id": 0, + "ant_id": 0, + "seq_id": 0, + "frame_id": 0, + "subframe_id": 0, + "slot_id": 0, + "symbol_start": 0, + "comp_method": 0, + "iq_width": 16, + "sections": [ + { + "sectionId": 1, + "rb": 0, + "symInc": 0, + "startPrbc": 0, + "numPrbc": 273, + "reMask": 4095, + "numSymbol": 14, + "beamId": 0, + "exts": [ 0 ] + } + ], + "extensions": [ + { + "name": "ext10", + "type": 10, + "numPortc": 15, + "beamGrpType": 2, + "beamID": [ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 ] + } + ] + } + }, + { + "name": "DL_SectionType1_SingleSection_Ext1_NoComp", + "parameters": { + "direction": "DL", + "section_type": 1, + "cc_id": 0, + "ant_id": 0, + "seq_id": 0, + "frame_id": 0, + "subframe_id": 0, + "slot_id": 0, + "symbol_start": 0, + "comp_method": 0, + "iq_width": 16, + "sections": [ + { + "sectionId": 1, + "rb": 0, + "symInc": 0, + "startPrbc": 0, + "numPrbc": 36, + "reMask": 4095, + "numSymbol": 14, + "beamId": 0, + "exts": [ 0 ] + } + ], + "extensions": [ + { + "name": "ext1", + "type": 1, + "bfwCompMeth": 0, + "bfwIqWidth": 16, + "antelm_trx": 64 + } + ] + } + }, + { + "name": "DL_SectionType1_SingleSection_Ext1_BFP9", + "parameters": { + "direction": "DL", + "section_type": 1, + "cc_id": 0, + "ant_id": 0, + "seq_id": 0, + "frame_id": 0, + "subframe_id": 0, + "slot_id": 0, + "symbol_start": 0, + "comp_method": 1, + "iq_width": 9, + "sections": [ + { + "sectionId": 1, + "rb": 0, + "symInc": 0, + "startPrbc": 0, + "numPrbc": 36, + "reMask": 4095, + "numSymbol": 14, + "beamId": 0, + "exts": [ 0 ] + } + ], + "extensions": [ + { + "name": "ext1", + "type": 1, + "bfwCompMeth": 1, + "bfwIqWidth": 9, + "antelm_trx": 64 + } + ] + } + }, + { + "name": "DL_SectionType1_SingleSection_Ext11_NoComp", + "parameters": { + "direction": "DL", + "section_type": 1, + "cc_id": 0, + "ant_id": 0, + "seq_id": 0, + "frame_id": 0, + "subframe_id": 0, + "slot_id": 0, + "symbol_start": 0, + "comp_method": 0, + "iq_width": 16, + "sections": [ + { + "sectionId": 1, + "rb": 0, + "symInc": 0, + "startPrbc": 0, + "numPrbc": 270, + "reMask": 4095, + "numSymbol": 14, + "beamId": 0, + "exts": [ 0 ] + } + ], + "extensions": [ + { + "name": "ext11", + "type": 11, + "RAD": 0, + "disableBFWs": 0, + "numBundPrb": 90, + "numSetBFWs": 3, + "bfwCompMeth": 0, + "bfwIqWidth": 16, + "antelm_trx": 64, + "beamID": [ 31312, 31313, 31314 ] + } + ] + } + }, + { + "name": "DL_SectionType1_SingleSection_Ext11_BFP9", + "parameters": { + "direction": "DL", + "section_type": 1, + "cc_id": 0, + "ant_id": 0, + "seq_id": 0, + "frame_id": 0, + "subframe_id": 0, + "slot_id": 0, + "symbol_start": 0, + "comp_method": 1, + "iq_width": 9, + "sections": [ + { + "sectionId": 1, + "rb": 0, + "symInc": 0, + "startPrbc": 0, + "numPrbc": 18, + "reMask": 4095, + "numSymbol": 14, + "beamId": 0, + "exts": [ 0 ] + } + ], + "extensions": [ + { + "name": "ext11", + "type": 11, + "RAD": 0, + "disableBFWs": 0, + "numBundPrb": 2, + "numSetBFWs": 9, + "bfwCompMeth": 1, + "bfwIqWidth": 9, + "antelm_trx": 64, + "beamID": [ 31315, 31316, 31317, 31318, 31319, 31320, 31321, 31322, + 31323, 31324, 31325, 31326, 31327, 31328, 31329, 31330, + 31331, 31332, 31333, 31334, 31335, 31336, 31337, 31338, + 31339, 31340, 31341, 31342, 31343, 31344, 31345, 31346, + 31347, 31348, 31349, 31350, 31351, 31352, 31353, 31354, + 31355, 31356, 31357, 31358, 31359, 31360, 31361, 31362, + 31363, 31364, 31365, 31366, 31367, 31368, 31369, 31370, + 31371, 31372, 31373, 31374, 31375, 31376, 31377, 31378 ] + } + ] + } + }, + { + "name": "DL_SectionType1_SingleSection_ExtAll", + "parameters": { + "direction": "DL", + "section_type": 1, + "cc_id": 0, + "ant_id": 0, + "seq_id": 0, + "frame_id": 0, + "subframe_id": 0, + "slot_id": 0, + "symbol_start": 0, + "comp_method": 0, + "iq_width": 16, + "sections": [ + { + "sectionId": 1, + "rb": 0, + "symInc": 0, + "startPrbc": 0, + "numPrbc": 273, + "reMask": 4095, + "numSymbol": 14, + "beamId": 0, + "exts": [ 0, 1, 2, 3, 4, 5 ] + } + ], + "extensions": [ + { + "name": "ext2", + "type": 2, + "bfAzPtWidth": 7, + "bfAzPt": 10, + "bfZePtWidth": 7, + "bfZePt": 20, + "bfAz3ddWidth": 7, + "bfAz3dd": 30, + "bfZe3ddWidth": 7, + "bfZe3dd": 40, + "bfAzSI": 2, + "bfZeSI": 5 + }, + { + "name": "ext3", + "type": 3, + "codebookIdx": 165, + "layerId": 2, + "numLayers": 2, + "txScheme": 1, + "crsReMask": 10, + "crsShift": 0, + "crsSymNum": 5, + "numAntPort": 4, + "beamIdAP1": 100, + "beamIdAP2": 200, + "beamIdAP3": 300 + }, + { + "name": "ext4", + "type": 4, + "csf": 1, + "modCompScaler":1365 + }, + { + "name": "ext5", + "type": 5, + "num_sets": 2, + "csf": [ 1, 0 ], + "mcScaleReMask":[ 15, 7 ], + "mcScaleOffset":[ 100, 200 ] + }, + { + "name": "ext6", + "type": 6, + "rbgSize": 6, + "rbgMask": 173693530, + "symbolMask": 16383 + }, + { + "name": "ext10", + "type": 10, + "numPortc": 15, + "beamGrpType": 2, + "beamID": [ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ] + } + ] } }, { @@ -201,7 +1034,6 @@ "parameters": { "direction": "UL", "section_type": 3, - "num_sections": 1, "cc_id": 0, "ant_id": 0, "seq_id": 0, @@ -209,19 +1041,26 @@ "subframe_id": 0, "slot_id": 0, "symbol_start": 7, - "symbol_num": 6, - "prb_start": [ 0 ], - "prb_num": [ 12 ], "comp_method": 0, - "beam_id": 0, - "section_id": 0, "iq_width": 16, "filter_index": 3, "time_offset": 2026, - "freq_offset": -792, "fft_size": 10, "scs": 3, - "cp_length": 0 + "cp_length": 0, + "sections": [ + { + "sectionId": 1, + "rb": 0, + "symInc": 0, + "startPrbc": 0, + "numPrbc": 12, + "reMask": 4095, + "numSymbol": 6, + "beamId": 0, + "freqOffset": -792 + } + ] } } ], @@ -244,7 +1083,7 @@ "antelm_trx": 32, "iq_width": 16, "comp_meth": 0, - "totalBfWeights": 32, + "totalBfWeights": 64, "num_slots": 10, "bfw_prefix_dl": "test_vectors/mu1_100_32/dl_bfw_ue_", "bfw_prefix_ul": "test_vectors/mu1_100_32/ul_bfw_ue_" @@ -267,7 +1106,7 @@ "antelm_trx": 32, "iq_width": 16, "comp_meth": 0, - "totalBfWeights": 32, + "totalBfWeights": 64, "num_slots": 10, "bfw_prefix_dl": "test_vectors/mu1_100_32/dl_bfw_ue_", "bfw_prefix_ul": "test_vectors/mu1_100_32/ul_bfw_ue_" @@ -291,7 +1130,7 @@ "antelm_trx": 32, "iq_width": 16, "comp_meth": 0, - "totalBfWeights": 32, + "totalBfWeights": 64, "num_slots": 10, "bfw_prefix_dl": "test_vectors/mu1_100_32/dl_bfw_ue_", "bfw_prefix_ul": "test_vectors/mu1_100_32/ul_bfw_ue_" @@ -314,7 +1153,7 @@ "antelm_trx": 32, "iq_width": 16, "comp_meth": 0, - "totalBfWeights": 32, + "totalBfWeights": 64, "num_slots": 10, "bfw_prefix_dl": "test_vectors/mu1_100_32/dl_bfw_ue_", "bfw_prefix_ul": "test_vectors/mu1_100_32/ul_bfw_ue_" @@ -338,7 +1177,7 @@ "antelm_trx": 32, "iq_width": 16, "comp_meth": 0, - "totalBfWeights": 32, + "totalBfWeights": 64, "num_slots": 10, "bfw_prefix_dl": "test_vectors/mu1_100_32/dl_bfw_ue_", "bfw_prefix_ul": "test_vectors/mu1_100_32/ul_bfw_ue_" @@ -362,7 +1201,7 @@ "antelm_trx": 32, "iq_width": 16, "comp_meth": 0, - "totalBfWeights": 32, + "totalBfWeights": 64, "num_slots": 10, "bfw_prefix_dl": "test_vectors/mu1_100_32/dl_bfw_ue_", "bfw_prefix_ul": "test_vectors/mu1_100_32/ul_bfw_ue_" @@ -385,7 +1224,7 @@ "antelm_trx": 32, "iq_width": 16, "comp_meth": 0, - "totalBfWeights": 32, + "totalBfWeights": 64, "num_slots": 10, "bfw_prefix_dl": "test_vectors/mu1_100_32/dl_bfw_ue_", "bfw_prefix_ul": "test_vectors/mu1_100_32/ul_bfw_ue_" @@ -408,7 +1247,7 @@ "antelm_trx": 32, "iq_width": 16, "comp_meth": 0, - "totalBfWeights": 32, + "totalBfWeights": 64, "num_slots": 10, "bfw_prefix_dl": "test_vectors/mu1_100_32/dl_bfw_ue_", "bfw_prefix_ul": "test_vectors/mu1_100_32/ul_bfw_ue_" @@ -434,7 +1273,7 @@ "dpdkBasebandDevice": "none", "filePrefix": "wls", "xranCat": 0, - "mtu": 9600, + "mtu": 1500, "p_o_du_addr": "00:11:22:33:44:66", "p_o_ru_addr": "00:11:22:33:44:55", "Tadv_cp_dl": 0, @@ -503,7 +1342,7 @@ "SlotNrNum": 2, "prach_start_symbol": 0, "prach_last_symbol": 13, - "m_params_timeOffset": 108, + "m_params_timeOffset": 124, "id": 0 } } @@ -580,16 +1419,131 @@ "parameters": { } } - ], + ], + + "bfp_performace_cp": [ + { + "name": "AntElm_8_IQ_8", + "parameters": { + "AntElm": 8, + "iqWidth": 8 + } + }, + { + "name": "AntElm_16_IQ_8", + "parameters": { + "AntElm": 16, + "iqWidth": 8 + } + }, + { + "name": "AntElm_32_IQ_8", + "parameters": { + "AntElm": 32, + "iqWidth": 8 + } + }, + { + "name": "AntElm_64_IQ_8", + "parameters": { + "AntElm": 64, + "iqWidth": 8 + } + }, + { + "name": "AntElm_8_IQ_9", + "parameters": { + "AntElm": 8, + "iqWidth": 9 + } + }, + { + "name": "AntElm_16_IQ_9", + "parameters": { + "AntElm": 16, + "iqWidth": 9 + } + }, + { + "name": "AntElm_32_IQ_9", + "parameters": { + "AntElm": 32, + "iqWidth": 9 + } + }, + { + "name": "AntElm_64_IQ_9", + "parameters": { + "AntElm": 64, + "iqWidth": 9 + } + }, + { + "name": "AntElm_8_IQ_10", + "parameters": { + "AntElm": 8, + "iqWidth": 10 + } + }, + { + "name": "AntElm_16_IQ_10", + "parameters": { + "AntElm": 16, + "iqWidth": 10 + } + }, + { + "name": "AntElm_32_IQ_10", + "parameters": { + "AntElm": 32, + "iqWidth": 10 + } + }, + { + "name": "AntElm_64_IQ_10", + "parameters": { + "AntElm": 64, + "iqWidth": 10 + } + }, + { + "name": "AntElm_8_IQ_12", + "parameters": { + "AntElm": 8, + "iqWidth": 12 + } + }, + { + "name": "AntElm_16_IQ_12", + "parameters": { + "AntElm": 16, + "iqWidth": 12 + } + }, + { + "name": "AntElm_32_IQ_12", + "parameters": { + "AntElm": 32, + "iqWidth": 12 + } + }, + { + "name": "AntElm_64_IQ_12", + "parameters": { + "AntElm": 64, + "iqWidth": 12 + } + } + ], "bfp_performace_ex": [ { "name": "RB_16_IQ_8", "parameters": { "nRBsize": 16, - "iqWidth": 8 + "iqWidth": 8 } - }, + }, { "name": "RB_18_IQ_8", "parameters": { @@ -601,9 +1555,9 @@ "name": "RB_32_IQ_8", "parameters": { "nRBsize": 32, - "iqWidth": 8 + "iqWidth": 8 } - }, + }, { "name": "RB_36_IQ_8", "parameters": { @@ -615,23 +1569,23 @@ "name": "RB_48_IQ_8", "parameters": { "nRBsize": 48, - "iqWidth": 8 + "iqWidth": 8 } - }, + }, { "name": "RB_70_IQ_8", "parameters": { "nRBsize": 70, "iqWidth": 8 } - }, + }, { "name": "RB_113_IQ_8", "parameters": { "nRBsize": 113, "iqWidth": 8 } - }, + }, { "name": "RB_273_IQ_8", "parameters": { @@ -643,23 +1597,23 @@ "name": "RB_6_IQ_9", "parameters": { "nRBsize": 6, - "iqWidth": 9 + "iqWidth": 9 } - }, + }, { "name": "RB_12_IQ_9", "parameters": { "nRBsize": 12, - "iqWidth": 9 + "iqWidth": 9 } - }, + }, { "name": "RB_16_IQ_9", "parameters": { "nRBsize": 16, - "iqWidth": 9 + "iqWidth": 9 } - }, + }, { "name": "RB_18_IQ_9", "parameters": { @@ -685,9 +1639,9 @@ "name": "RB_32_IQ_9", "parameters": { "nRBsize": 32, - "iqWidth": 9 + "iqWidth": 9 } - }, + }, { "name": "RB_36_IQ_9", "parameters": { @@ -706,9 +1660,9 @@ "name": "RB_48_IQ_9", "parameters": { "nRBsize": 48, - "iqWidth": 9 + "iqWidth": 9 } - }, + }, { "name": "RB_54_IQ_9", "parameters": { @@ -736,7 +1690,7 @@ "nRBsize": 70, "iqWidth": 9 } - }, + }, { "name": "RB_72_IQ_9", "parameters": { @@ -790,9 +1744,9 @@ "name": "RB_113_IQ_9", "parameters": { "nRBsize": 113, - "iqWidth": 9 + "iqWidth": 9 } - }, + }, { "name": "RB_114_IQ_9", "parameters": { @@ -993,9 +1947,9 @@ "name": "RB_16_IQ_10", "parameters": { "nRBsize": 16, - "iqWidth": 10 + "iqWidth": 10 } - }, + }, { "name": "RB_18_IQ_10", "parameters": { @@ -1007,9 +1961,9 @@ "name": "RB_32_IQ_10", "parameters": { "nRBsize": 32, - "iqWidth": 10 + "iqWidth": 10 } - }, + }, { "name": "RB_36_IQ_10", "parameters": { @@ -1023,21 +1977,21 @@ "nRBsize": 48, "iqWidth": 10 } - }, + }, { "name": "RB_70_IQ_10", "parameters": { "nRBsize": 70, "iqWidth": 10 } - }, + }, { "name": "RB_113_IQ_10", "parameters": { "nRBsize": 113, - "iqWidth": 10 + "iqWidth": 10 } - }, + }, { "name": "RB_273_IQ_10", "parameters": { @@ -1051,7 +2005,7 @@ "nRBsize": 16, "iqWidth": 12 } - }, + }, { "name": "RB_18_IQ_12", "parameters": { @@ -1065,7 +2019,7 @@ "nRBsize": 32, "iqWidth": 12 } - }, + }, { "name": "RB_36_IQ_12", "parameters": { @@ -1079,21 +2033,21 @@ "nRBsize": 48, "iqWidth": 12 } - }, + }, { "name": "RB_70_IQ_12", "parameters": { "nRBsize": 70, "iqWidth": 12 } - }, + }, { "name": "RB_113_IQ_12", "parameters": { "nRBsize": 113, - "iqWidth": 12 + "iqWidth": 12 } - }, + }, { "name": "RB_273_IQ_12", "parameters": { @@ -1107,7 +2061,7 @@ "nRBsize": 16, "iqWidth": 14 } - }, + }, { "name": "RB_18_IQ_14", "parameters": { @@ -1121,7 +2075,7 @@ "nRBsize": 32, "iqWidth": 14 } - }, + }, { "name": "RB_36_IQ_14", "parameters": { @@ -1135,21 +2089,21 @@ "nRBsize": 48, "iqWidth": 14 } - }, + }, { "name": "RB_70_IQ_14", "parameters": { "nRBsize": 70, "iqWidth": 14 } - }, + }, { "name": "RB_113_IQ_14", "parameters": { "nRBsize": 113, - "iqWidth": 14 + "iqWidth": 14 } - }, + }, { "name": "RB_273_IQ_14", "parameters": { @@ -1157,5 +2111,120 @@ "iqWidth": 14 } } + ], + + "mod_compression_performace": [ + { + "name": "QPSK_1728RE", + "parameters": { + "unit": 8192, + "modulation": 2, + "num_symbols": 1728 + } + }, + { + "name": "QPSK_3276RE", + "parameters": { + "unit": 8192, + "modulation": 2, + "num_symbols": 3276 + } + }, + { + "name": "16QAM_1722RE", + "parameters": { + "unit": 10360, + "modulation": 4, + "num_symbols": 1722 + } + }, + { + "name": "16QAM_3276RE", + "parameters": { + "unit": 10360, + "modulation": 4, + "num_symbols": 3276 + } + }, + { + "name": "64QAM_1728RE", + "parameters": { + "unit": 5064, + "modulation": 6, + "num_symbols": 1728 + } + }, + { + "name": "64QAM_3276RE", + "parameters": { + "unit": 5064, + "modulation": 6, + "num_symbols": 3276 + } + }, + { + "name": "256QAM_1272RE", + "parameters": { + "unit": 7168, + "modulation": 8, + "num_symbols": 1272 + } + }, + { + "name": "256QAM_3276RE", + "parameters": { + "unit": 7168, + "modulation": 8, + "num_symbols": 3276 + } + }, + { + "name": "QPSK_6793X2RE", + "parameters": { + "unit": 8192, + "modulation": 2, + "num_symbols": 13586 + } + }, + { + "name": "16QAM_5284X4RE", + "parameters": { + "unit": 10360, + "modulation": 4, + "num_symbols": 21136 + } + }, + { + "name": "64QAM_3774X8RE", + "parameters": { + "unit": 5064, + "modulation": 6, + "num_symbols": 30192 + } + }, + { + "name": "64QAM_2264X16RE", + "parameters": { + "unit": 5064, + "modulation": 6, + "num_symbols": 36224 + } + }, + { + "name": "256QAM_755X8RE", + "parameters": { + "unit": 7168, + "modulation": 8, + "num_symbols": 6040 + } + }, + { + "name": "256QAM_62899X16RE", + "parameters": { + "unit": 7168, + "modulation": 8, + "num_symbols": 1006384 + } + } ] }