Changes for SR, BSR and MSG5 Handling
[o-du/l2.git] / src / du_app / du_cfg.h
1 /*******************************************************************************
2 ################################################################################
3 #   Copyright (c) [2017-2019] [Radisys]                                        #
4 #                                                                              #
5 #   Licensed under the Apache License, Version 2.0 (the "License");            #
6 #   you may not use this file except in compliance with the License.           #
7 #   You may obtain a copy of the License at                                    #
8 #                                                                              #
9 #       http://www.apache.org/licenses/LICENSE-2.0                             #
10 #                                                                              #
11 #   Unless required by applicable law or agreed to in writing, software        #
12 #   distributed under the License is distributed on an "AS IS" BASIS,          #
13 #   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.   #
14 #   See the License for the specific language governing permissions and        #
15 #   limitations under the License.                                             #
16 ################################################################################
17  *******************************************************************************/
18
19 #ifndef __DU_CONFIG_H_
20 #define __DU_CONFIG_H__
21
22 /* MACROS */
23 #define DU_INST 0
24 #define DU_ID 1
25 #define DU_IP_V4_ADDR "192.168.130.81"
26 #define CU_IP_V4_ADDR "192.168.130.82"
27 #define RIC_IP_V4_ADDR "192.168.130.80"
28 #define DU_PORT 38472
29 #define CU_PORT 38472
30 #define RIC_PORT 36422         /* using X2 port since E2 port not defined  */
31 #define DU_EGTP_PORT  39001
32 #define CU_EGTP_PORT  39002
33 #define NR_PCI 1
34 #define NR_CELL_ID 1
35 #define DU_NAME "ORAN_OAM_DU"
36 #define CELL_TYPE SMALL
37 #define DUPLEX_MODE DUP_MODE_FDD
38 #define DU_TAC 1
39 #define PLMN_MCC0 3
40 #define PLMN_MCC1 1
41 #define PLMN_MCC2 1
42 #define PLMN_MNC0 4
43 #define PLMN_MNC1 8
44 #define PLMN_MNC2 0
45 #define PLMN_SIZE 3
46 #define NR_DL_ARFCN 385627
47 #define NR_UL_ARFCN 386687
48 #define SUL_ARFCN 100
49 #define NR_FREQ_BAND 257
50 #define NR_FREQ_BAND_IND 78
51 #define SUL_BAND 2
52 #define TIME_CFG 0
53 #define CARRIER_IDX 1
54 #define NUM_TX_ANT 2
55 #define NUM_RX_ANT 2
56 #define FREQ_SHIFT_7P5KHZ FALSE
57 #define SSB_PBCH_PWR -5
58 #define BCH_PAYLOAD MAC_GEN_FULL_PBCH_PAYLD
59 #define TOTAL_PRB_BW 106
60 #define SUBCARRIER_SPACING 0
61 #define NORMAL_CYCLIC_PREFIX 0
62 #define SCS_CARRIER_BANDWIDTH 273         /* Subcarrier spacing- carrier bandwidth */
63 #define OFFSET_TO_POINT_A 24                     /* PRB Offset to Point A */
64 #define BETA_PSS BETA_PSS_0DB  
65 #define SSB_PERIODICITY_5MS   5
66 #define SSB_PERIODICITY_10MS  10
67 #define SSB_PERIODICITY_20MS  20
68 #define SSB_PERIODICITY_40MS  40
69 #define SSB_PERIODICITY_80MS  80
70 #define SSB_PERIODICITY_160MS 160
71 #define SSB_SUBCARRIER_OFFSET 0               
72 #define SSB_MULT_CARRIER_BAND FALSE
73 #define MULT_CELL_CARRIER FALSE
74 #define FREQ_LOC_BW  1099              /* DL frequency location and bandwidth */
75 #define UL_P_MAX  23
76 #define BANDWIDTH 20
77 #define DMRS_TYPE_A_POS 2
78 #define NUM_SYMBOLS_PER_SLOT 14       /* Number of symbols within a slot */
79 #define CORESET0_END_PRB   48
80 #define CORESET1_NUM_PRB   24
81
82 /* MACRO defines for PRACH Configuration */
83 #define PRACH_CONFIG_IDX   88
84 #define PRACH_FREQ_START   0
85 #define PRACH_SEQ_LEN SHORT_SEQUENCE
86 #define PRACH_SUBCARRIER_SPACING 1
87 #define PRACH_RESTRICTED_SET_CFG 0
88 #define NUM_PRACH_FDM 1
89 #define ROOT_SEQ_IDX 24
90 #define NUM_ROOT_SEQ 1
91 #define ZERO_CORRELATION_ZONE_CFG 6
92 #define NUM_UNUSED_ROOT_SEQ 0
93 #define UNUSED_ROOT_SEQ 1
94 #define SSB_PER_RACH 1
95 #define PRACH_MULT_CARRIER_BAND FALSE
96 #define PRACH_PREAMBLE_RCVD_TGT_PWR  -74   
97 #define NUM_RA_PREAMBLE  63
98 #define RSRP_THRESHOLD_SSB   31
99 #define TDD_PERIODICITY TX_PRDCTY_MS_2P5
100 #define RSS_MEASUREMENT_UNIT DONT_REPORT_RSSI
101 #define RA_CONT_RES_TIMER 64
102 #define RA_RSP_WINDOW 180
103 #define PRACH_RESTRICTED_SET 0 /* Unrestricted */
104 #define ROOT_SEQ_LEN 139
105
106 /* MACRCO Ddefine for PDCCH Configuration */
107 #define PDCCH_CTRL_RSRC_SET_ZERO   13   /* Control resouce set zero */
108 #define PDCCH_SEARCH_SPACE_ZERO    0    /* Search space zero */
109 #define PDCCH_SEARCH_SPACE_ID      1    /* Common search space id */
110 #define PDCCH_CTRL_RSRC_SET_ID     0    /* Control resource set id */
111 #define PDCCH_SEARCH_SPACE_ID_SIB1 0    /* Search space id for sib1 */
112 #define PDCCH_SEARCH_SPACE_ID_PAGING 1  /* Search space id for paging */
113 #define PDCCH_SEARCH_SPACE_ID_RA   1    /* Search spaced id for random access */
114 #define PDCCH_SERACH_SPACE_DCI_FORMAT 0
115 #define PDCCH_CTRL_RSRC_SET_ONE_ID  1
116 #define PDCCH_CTRL_RSRC_SET_ONE_DURATION 2  /* Duration for control resource set id i */
117 #define PDCCH_CTRL_RSRC_SET_ONE_PRECOD_GRANULARITY 1   /* Precoded granularity */
118 #define PDCCH_SRCH_SPC_TWO_ID 2
119 #define PDCCH_SRCH_SPC_TWO_AGG_LVL1_CANDIDATE 7   /* Num of candidate at aggregation level 1 */
120 #define PDCCH_SRCH_SPC_TWO_AGG_LVL2_CANDIDATE 7   /* Num of candidate at aggregation level 2 */
121 #define PDCCH_SRCH_SPC_TWO_AGG_LVL4_CANDIDATE 4   /* Num of candidate at aggregation level 4 */
122 #define PDCCH_SRCH_SPC_TWO_AGG_LVL8_CANDIDATE 2   /* Num of candidate at aggregation level 8 */
123 #define PDCCH_SRCH_SPC_TWO_AGG_LVL16_CANDIDATE 1  /* Num of candidate at aggregation level 16 */
124 #define PDCCH_SRCH_SPC_TWO_UE_SPEC_DCI_FORMAT  0  /* format 0-0 and 1-0 */
125 #define PDCCH_SYMBOL_WITHIN_SLOT 128     /* Symbol within Slot Value */
126
127
128 #define SIB1_VALUE_TAG 10
129
130 /* MACRO Ddefine for PDSCH Configuration */
131 #define PDSCH_K0  0
132 #define PDSCH_START_SYMBOL  2
133 #define PDSCH_LENGTH_SYMBOL 12
134 #define PDSCH_RES_ALLOC_TYPE       1          /* Resource allocation type */
135 #define PDSCH_MAX_CODEWORD_SCH_BY_DCI 0       /* Max num of codewords scheduled by DCI */
136 #define PDSCH_RBG_SIZE   0                    /* 0: config1 */
137 #define PDSCH_NUM_HARQ_PROC 5
138
139 /* MACRO Define for PUSCH Configuration */
140 #define PUSCH_K2  3
141 #define PUSCH_START_SYMBOL  0
142 #define PUSCH_LENGTH_SYMBOL 14
143
144 #define PUSCH_MSG3_DELTA_PREAMBLE 0
145 #define PUSCH_P0_NOMINAL_WITH_GRANT -70
146 #define PUSCH_TRANSFORM_PRECODER    1      /* 1: Disabled */
147 #define PUSCH_MAX_MIMO_LAYERS       1
148 #define PUSCH_PROCESS_TYPE2_ENABLED false
149
150 /* Macro define for PUCCH Configuration */
151 #define PUCCH_RSRC_COMMON  0
152 #define PUCCH_GROUP_HOPPING 2 /* disable */
153 #define PUCCH_P0_NOMINAL   -74
154
155 /* MACRO defines for TDD DL-UL Configuration */
156 #define NUM_DL_SLOTS 3
157 #define NUM_DL_SYMBOLS 12
158 #define NUM_UL_SLOTS 1
159 #define NUM_UL_SYMBOLS 0
160
161 /* MACRO defines for SRC config */
162 #define SRS_RSRC_ID  1
163 #define SRS_RSET_ID  1
164 #define SRS_COMB_OFFSET_N2   0
165 #define SRS_CYCLIC_SHIFT_N2  0
166 #define SRS_FREQ_DOM_POS     0
167 #define SRS_FREQ_DOM_SHIFT   0
168 #define C_SRS 0
169 #define B_SRS 0
170 #define B_HOP 0
171 #define SRS_SEQ_ID 0
172 #define APERIODIC_SRS_RESRC_TRIGGER 1 
173
174 #define DU_PROC  0
175 #define DU_INST 0
176 #define DU_POOL  1
177 #define MAX_DU_PORT 2
178 #define F1_INTERFACE 0
179 #define E2_INTERFACE 1
180
181 #define SCTP_INST 0
182 #define EGTP_INST 0
183
184 /* Events */
185 #define EVTCFG 0
186
187 #ifdef EGTP_TEST
188 /* Macro definitions for EGTP procedures */
189 #define EGTP_LCL_TEID 1     /* EGTP local tunnel id */
190 #define EGTP_REM_TEID 10    /* EGTP remote tinnel id */
191 #endif
192
193
194 /* Macro definitions for F1 procedures */
195 #define CU_DU_NAME_LEN_MAX 30      /* Max length of CU/DU name string */
196 #define MAX_F1_CONNECTIONS 65536    /* Max num of F1 connections */
197 #define MAX_PLMN           6        /* Max num of broadcast PLMN ids */
198 #define MAXNRARFCN         3279165  /* Maximum values of NRAFCN */
199 #define MAXNRCELLBANDS     2       /* Maximum number of frequency bands */
200 #define MAX_NUM_OF_SLICE_ITEMS 1024     /* Maximum number of signalled slice support items */
201 #define MAXBPLMNNRMINUS1   1       /* Maximum number of PLMN Ids broadcast in an NR cell minus 1 */
202 #define MAXNUMOFSIBTYPES   32       /* Maximum number of SIB types */
203 #define MAX_TNL_ASSOC      32       /* Max num of TNL Assoc between CU and DU */
204 #define MAXCELLINENB       256      /* Max num of cells served by eNB */
205 #define MAX_NUM_OF_UE_ID       65536    /* Max num of UEs served by DU */
206 #define MAXNUMOFUACPLMN    12       /* Maximum number of PLMN ids*/
207 #define MAXNUMOFUACPERPLMN 64       /* Maximum number of signalled categories per PLMN */
208 #define NR_RANAC           150      /* RANAC */
209 #define DEFAULT_CELLS      1        /* Max num of broadcast PLMN ids */
210
211
212 /* Macro definitions for MIB/SIB1 */
213 #define SYS_FRAME_NUM 0
214 #define SPARE 0
215 #define SSB_SC_OFFSET 8
216 #define CORESET_ZERO 1
217 #define SEARCH_SPACE_ZERO 8
218 #define DU_RANAC 1
219 #define CELL_IDENTITY 32
220
221 /* Macro definitions for DUtoCuRrcContainer */
222 #define CELL_GRP_ID 1
223 #define SCH_REQ_ID  0
224 #define SR_PROHIBIT_TMR 5
225 #define SR_TRANS_MAX 2
226 #define PERIODIC_BSR_TMR 2
227 #define RETX_BSR_TMR     5
228 #define SR_DELAY_TMR     6
229 #define TAG_ID 0
230 #define TIME_ALIGNMENT_TMR 7
231 #define PHR_PERIODIC_TMR 7
232 #define PHR_PROHIBHIT_TMR 0
233 #define PHR_PWR_FACTOR_CHANGE 3
234 #define PHR_MODE_OTHER_CG 0
235 #define RLC_LCID 1 
236 #define SRB_ID_1 1
237 #define SN_FIELD_LEN 0
238 #define T_POLL_RETRANSMIT 8 
239 #define POLL_PDU 0
240 #define POLL_BYTE 43
241 #define MAX_RETX_THRESHOLD 5
242 #define T_REASSEMBLY 8
243 #define T_STATUS_PROHIBHIT 7
244 #define MAC_LC_PRIORITY 1
245 #define PRIORTISIED_BIT_RATE 15
246 #define BUCKET_SIZE_DURATION 5
247 #define LC_GRP 0
248 #define P_NR_FR1 0
249 #define PDSCH_HARQ_ACK_CODEBOOK 1
250 #define SERV_CELL_IDX 0
251 #define RLM_SYNC_OUT_SYNC_THRESHOLD 0
252 #define ACTIVE_DL_BWP_ID 0
253 #define ACTIVE_UL_BWP_ID 0
254 #define SCRAMBLING_ID  NR_PCI
255 #define DMRS_ADDITIONAL_POS  0          /* DMRS Additional poistion */
256 #define RES_ALLOC_TYPE       1          /* Resource allocation type */
257
258 #ifdef EGTP_TEST
259 #define UE_ID 1
260 #define RB_ID 1
261 #define LC_ID 1
262 #endif
263
264 typedef enum
265 {
266    GNBDU,
267    GNBCU 
268 }F1Entity;
269
270 typedef enum
271 {
272    UNSPECIFIED,
273    RL_FAILURE_RLC,
274    INVALID_CU_UE_F1AP_ID,
275    INVALID_DU_UE_F1AP_ID,
276    INTERACTION_WITH_OTHER_PROC,
277    INVALID_QCI_VALUE,
278    RADIO_RELATED_REASON,
279    INSUFFICIENT_RADIO_RESOURCE,
280    PROCEDURE_CANCELLED,
281    NORMAL_RELEASE,
282    UNAVAILABLE_CELL,
283    RL_FAILURE_OTHERS,
284    UE_ACCESS_REJECTED,
285    SLICE_RESOURCE_UNAVAILABLE,
286    AMF_ABNORMAL_RELEASE,
287    INVALID_PLMN
288 }RadioNwCause;
289
290 typedef enum
291 {
292    TRANS_CAUSE_UNSPECIFIED,
293    TRANSPORT_RESOURCE_UNAVAIL
294 }TransLayerCause;
295
296 typedef enum
297 {
298    TRANSFER_SYNTAX_ERR,
299    ABSTRACT_SYNTAX_ERR_REJECT,
300    ABSTRACT_SYNTAX_ERR_NOTIFY,
301    INCOMPATIBLE_MESSAGE,
302    SEMANTIC_ERROR,
303    ABSTRACT_SYNTAX_ERR_MSG_CONSTRUCT,
304    PROTOCOL_CAUSE_UNSPECIFIED
305 }ProtocolCause;
306
307 typedef enum
308 {
309    CONTROL_PROC_OVERLOAD,
310    INSUFF_USER_PLANE_RESOURCE,
311    HARDWARE_FAILURE,
312    O_AND_M_INTERVENTION,
313    MISC_CAUSE_UNSPECIFIED
314 }MiscCause;
315
316 typedef enum
317 {
318    ALL
319 }F1ResetAll;
320
321 typedef enum 
322 {
323    IN_SERVICE,
324    OUT_OF_SERVICE
325 }SrvState;
326
327 typedef enum
328 {
329    INITIATING_MSG,
330    SUCCESSFUL_OUTCOME,
331    UNSUCCESSFUL_OUTCOME
332 }F1TriggMsgVal;
333
334 typedef enum
335 {
336    CRIT_REJECT,
337    CRIT_IGNORE,
338    CRIT_NOTIFY
339 }F1ProcCritVal;
340
341 typedef enum
342 {
343    NOT_UNDERSTOOD,
344    MISSING_IE
345 }F1ErrorType;
346
347 typedef enum
348 {
349    SCS_15,
350    SCS_30,
351    SCS_60,
352    SCS_120
353 }F1NrScs;
354
355 typedef enum
356 {
357    NRB_11,
358    NRB_18,
359    NRB_24,
360    NRB_25,
361    NRB_31,
362    NRB_32,
363    NRB_38,
364    NRB_51,
365    NRB_52,
366    NRB_65,
367    NRB_66,
368    NRB_78,
369    NRB_79,
370    NRB_93,
371    NRB_106,
372    NRB_107,
373    NRB_121,
374    NRB_132,
375    NRB_133,
376    NRB_135,
377    NRB_160,
378    NRB_162,
379    NRB_189,
380    NRB_216,
381    NRB_217,
382    NRB_245,
383    NRB_264,
384    NRB_270,
385    NRB_273
386 }F1Nrb;
387
388 typedef enum
389 {
390    VERY_SMALL,
391    SMALL,
392    MEDIUM,
393    LARGE
394 }F1CellType;
395
396 typedef enum
397 {
398    DL,
399    UL,
400    DL_UL
401 }F1CellDir;
402
403 typedef enum
404 {
405    UE_USAGE,
406    NON_UE_USAGE,
407    BOTH
408 }F1AssocUsage;
409
410 typedef enum
411 {
412    BARRED,
413    NOT_BARRED
414 }CellBarred;
415
416 typedef enum
417 {
418    OFFER,
419    EXECUTION
420 }F1ReqType;
421
422 typedef enum
423 {
424    IGNORE_YES,
425    IGNORE_NO
426 }F1IgnoreReq;
427
428 typedef enum
429 {
430    OVERLOADED,
431    NOT_OVERLOADED
432 }F1GnbDuOvrloadInfo;
433
434 typedef enum
435 {
436    REJECT_RRC_CONN_NON_EMERG_MODT,
437    REJECT_RRC_CONN_SIGNALLING,
438    PERMIT_EMERG_SESSION_AND_MOBILE_TERM_SERVICE,
439    PERMIT_HIGH_PRIOR_SESSION_AND_MOBILE_TERM_SERVICE
440 }F1UacStandardAction;
441
442 typedef enum
443 {
444    PDSCH_MAPPING_TYPE_A,
445    PDSCH_MAPPING_TYPE_B,
446 }pdschMappingType;
447
448 typedef enum
449 {
450    PUSCH_MAPPING_TYPE_A,
451    PUSCH_MAPPING_TYPE_B,
452 }puschMappingType;
453
454
455 typedef struct f1RrcVersion
456 {
457    char    rrcVer[30];     /* Latest RRC Version */
458    uint32_t   extRrcVer;  /* Latest RRC version extended */
459 }F1RrcVersion;
460
461 typedef struct f1FailCause
462 {
463    union
464    {
465       RadioNwCause      radioNwCause;     /* Radio Network Layer Cause */
466       TransLayerCause   transLayerCause;  /* Transport Layer Cause */
467       ProtocolCause     protCause;        /* Protocol Cause */
468       MiscCause         miscCause;        /* Miscellaneous Cause */
469    }cause;
470 }F1FailCause;
471
472 typedef struct f1ProcCode
473 {
474    bool   pres;
475    uint8_t     value;
476 }F1ProcCode;
477
478 typedef struct f1TriggMsg
479 {
480    bool   pres;
481    F1TriggMsgVal   value;
482 }F1TriggMsg;
483
484 typedef struct f1ProcCrit
485 {
486    bool   pres;
487    F1ProcCritVal   value;
488 }F1ProcCrit;
489
490 typedef struct f1CritDignosIE
491 {
492    F1ProcCritVal   ieCritVal;
493    uint16_t             ieId;
494    F1ErrorType       type;
495 }F1CritDignosIE;
496
497 typedef struct f1CritDiagnostic
498 {
499    bool         pres;
500    F1ProcCode   procCode;      /* Procedure Code */
501    F1TriggMsg   triggeringMsg; /* Triggering Message */
502    F1ProcCrit   procCrit;      /* Criticality of Triggering msg/proc */
503    uint32_t          transId;
504    F1CritDignosIE diagnosIE;
505 }F1CritDiagnostic;
506
507 typedef struct f1FailureIE
508 {
509    uint32_t                transId;        /* Uniquely identify transaction */
510    F1FailCause        cause;          /* Failure cause */
511    uint8_t                 timeToWait;     /* Seconds to be waited before retry */
512    F1CritDiagnostic   critDiagnostic; /* Critical diagnostics */
513 }F1FailureIE;
514
515 typedef struct f1LogicalConnUeAssoc
516 {
517    uint32_t   gnbCuUeF1apId;
518    uint32_t   gnbDuUeF1apId;
519 }F1LogicalConnUeAssoc;
520
521 typedef struct f1ResetType
522 {
523    union
524    {
525       F1ResetAll             resetAll; /* Reset All */
526       F1LogicalConnUeAssoc   ueAssocLogicalConn[MAX_F1_CONNECTIONS]; /* In case of Partial Reset : UE associated logical F1 conn list*/
527    }type;
528 }F1ResetType;
529
530 typedef struct nrEcgi
531 {
532    Plmn  plmn;
533    uint16_t     cellId;
534 }NrEcgi;
535
536 typedef struct f1SibType
537 {
538    uint8_t   sibType;
539    uint8_t   sibMsg;
540    uint8_t   value;
541 }F1SibType;
542
543 typedef struct f1TxBw
544 {
545    F1NrScs   nrScs; /* NR subcarrier spacing */
546    F1Nrb     nrb;   /* Transmission bandwidth expressed in units of RBs */
547 }F1TxBw;
548
549 typedef struct f1SulInfo
550 {
551    uint32_t      sulArfcn; /* SUL ARFCN */
552    F1TxBw   sulTxBw;  /* SUL transmission bandwidth */ 
553 }F1SulInfo;
554
555 typedef struct f1FreqBand
556 {
557    uint16_t   nrFreqBand;
558    uint16_t   sulBand[MAXNRCELLBANDS];
559 }F1FreqBand;
560
561 typedef struct f1NrFreqInfo
562 {
563    uint32_t        nrArfcn;
564    F1SulInfo  sulInfo;
565    F1FreqBand freqBand[MAXNRCELLBANDS];
566 }F1NrFreqInfo;
567
568 typedef struct f1NrFddInfo
569 {
570    F1NrFreqInfo   ulNrFreqInfo;  /* UL NR Frequency Info */
571    F1NrFreqInfo   dlNrFreqInfo;  /* DL NR Frequency Info */
572    F1TxBw         ulTxBw;        /* UL Transmission bandwidth */
573    F1TxBw         dlTxBw;        /* DL Transmission bandwidth */
574 }F1NrFddInfo;
575
576 typedef struct f1NrTddInfo
577 {
578    F1NrFreqInfo   nrFreqInfo;   /* NR Frequency Info */
579    F1TxBw       nrTxBw;       /* NR Transmission Bandwidth */
580 }F1NrTddInfo;
581
582 typedef struct f1NrModeInfo
583 {
584    union
585    {
586       F1NrFddInfo  fdd;
587       F1NrTddInfo  tdd;
588    }mode;
589 }NrModeInfo;
590
591 typedef struct f1EutraFddInfo
592 {
593    uint16_t   ulOffset;   /* UL offset to Point A */
594    uint16_t   dlOffset;   /* DL offset to Point A */
595 }F1EutraFDDInfo;
596
597 typedef struct f1EutraTddInfo
598 {
599    uint16_t   tddOffset;  /* Offset to Point A */
600 }F1EutraTDDInfo;
601
602 typedef struct f1EutraModeInfo
603 {
604    union
605    {
606       F1EutraFDDInfo  fdd;
607       F1EutraTDDInfo  tdd;
608    }mode;
609 }F1EutraModeInfo;
610
611 typedef struct f1Snsaai
612 {
613    uint8_t   sst;
614    uint32_t  sd;
615 }F1Snsaai;
616
617 typedef struct epIpAddr
618 {
619    char transportAddr[20]; /* Transport Layer Address */
620 }EpIpAddr;
621
622 typedef struct epIpAddrPort
623 {
624    EpIpAddr epIpAddr;
625    char   port[2];
626 }EpIpAddrPort;
627
628 typedef struct f1TaiSliceSuppLst
629 {
630    bool       pres;
631    F1Snsaai   snssai[MAX_NUM_OF_SLICE_ITEMS];   
632 }F1TaiSliceSuppLst;
633
634 typedef struct f1SrvdPlmn
635 {
636    Plmn              plmn;
637    F1TaiSliceSuppLst   taiSliceSuppLst;
638 }F1SrvdPlmn;
639
640 typedef struct f1BrdcstPlmnInfo
641 {
642    Plmn   plmn[MAX_PLMN];     /* PLMN id list */
643    Plmn   extPlmn[MAX_PLMN];   /* Extended PLMN id list */
644    uint16_t      tac;                     /* 5GS-TAC */
645    uint32_t      nrCellId;                /* NR Cell id */
646    uint8_t       ranac;                   /* RAN Area code */
647 }F1BrdcstPlmnInfo;
648
649 typedef struct f1CellInfo
650 {
651    NrEcgi   nrCgi;                   /* Cell global Identity */
652    uint32_t nrPci;                   /* Physical Cell Identity */
653    Plmn   plmn[MAX_PLMN];     /* Available PLMN list */
654    Plmn   extPlmn[MAX_PLMN];  /* Extended available PLMN list */
655 }F1CellInfo;
656
657 typedef struct f1DuCellInfo
658 {
659    F1CellInfo         cellInfo;     /* cell info */
660    uint16_t           tac;          /* tracking area code */
661    uint16_t           epsTac;       /* Configured EPS TAC */
662    NrModeInfo         f1Mode;       /* NR mode info : FDD/TDD */
663    uint8_t            measTimeCfg;  /* Measurement timing configuration */
664    F1CellDir          cellDir;      /* Cell Direction */
665    F1CellType         cellType;     /* Cell Type */
666    F1BrdcstPlmnInfo   brdcstPlmnInfo[MAXBPLMNNRMINUS1]; /* Broadcast PLMN Identity Info List */
667 }F1DuCellInfo;
668
669 typedef struct f1DuSysInfo
670 {
671    uint8_t   *mibMsg;   /* MIB message */
672    uint16_t  mibLen;
673    uint8_t   *sib1Msg;  /* SIB1 message */
674    uint16_t  sib1Len;
675 }F1DuSysInfo;
676
677 typedef struct f1CuSysInfo
678 {
679    F1SibType   sibTypeToUpd[MAXNUMOFSIBTYPES];   /* SIB types to be updated */
680 }F1CuSysInfo;
681
682 typedef struct f1DuSrvdCellInfo
683 {
684    F1DuCellInfo   duCellInfo;   /* gNB-DU served cell info */
685    F1DuSysInfo    duSysInfo;    /* gNB-DU System Information */
686 }F1DuSrvdCellInfo;
687
688 typedef struct f1DuSrvdCellToDel
689 {
690    NrEcgi             oldNrCgi;     /* Old NR CGI */
691    F1DuSrvdCellInfo   srvdCellInfo; /* Info of served cell to be deleted */ 
692 }F1DuSrvdCellToDel;
693
694 typedef struct f1CuActCellInfo
695 {
696    F1CellInfo    cuCellInfo;   /* gNB-CU available cell info */
697    F1CuSysInfo   cuSysInfo;    /* gNB-CU System Information */
698 }F1CuActCellInfo;
699
700 typedef struct f1ActCellFail
701 {
702    NrEcgi        nrCgi;
703    F1FailCause   cause;
704 }F1ActCellFail;
705
706 typedef struct srvStatus
707 {
708    SrvState    state;
709    bool        switchOffOngoing;
710 }SrvStatus;
711
712 typedef struct f1CellStatus
713 {
714    NrEcgi      nrEcgi;
715    SrvStatus   status;   /* Service status */
716 }F1CellStatus;
717
718 typedef struct f1DedSIDelUE
719 {
720    uint32_t      gnbCuUeF1apId;
721    NrEcgi   nrEcgi;
722 }F1DedSIDelUE;
723
724 typedef struct tnlAssocInfo
725 {
726    bool           pres;
727    EpIpAddr       epIpAddr;      /* Endpoint-IP Address */
728    EpIpAddrPort   epIpAddrport;  /* Endpoint-IP Address and Port */
729 }F1TnlAssocAddr;
730
731 typedef struct f1TnlAssocUsage
732 {
733    bool           pres;
734    F1AssocUsage   usage;
735 }F1TnlAssocUsage;
736
737 typedef struct f1TnlAssoc
738 {
739    F1TnlAssocAddr   assocInfo;    /* TNL Assoc Transport Layer Info */
740    F1TnlAssocUsage  assocUsage;   /* TNL Assoc usage */
741 }F1TnlAssoc;
742
743 typedef struct f1TnlAssocToRmv
744 {
745    F1TnlAssocAddr   assocInfoCu;   /* TNL Assoc Transport Layer Info of CU */
746    F1TnlAssocAddr   assocInfoDu;   /* TNL Assoc Transport Layer Info of DU */
747 }F1TnlAssocToRmv;
748
749 typedef struct f1TnlAssocSetupFail
750 {
751    F1TnlAssocAddr   assocInfo;
752    F1FailCause      cause;
753 }F1TnlAssocSetupFail;
754
755
756 typedef struct f1CellBarred
757 {
758    NrEcgi       nrcgi;
759    CellBarred   cellBarred;
760 }F1CellBarred;
761
762 typedef struct f1EutraCell
763 {
764    uint32_t                eutraCellId; /* EUTRA cell id */
765    F1EutraModeInfo    mode;        /* EUTRA mode info : FDD/TDD */
766    uint8_t                 rsrcInd;     /* Protected EUTRA resource Indication */
767 }F1EutraCell;
768
769 typedef struct f1ProtectEUTRARsrc
770 {
771    uint32_t           specShareGrpId;               /* Spectrum sharing group id */
772    F1EutraCell   eutraCellList[MAXCELLINENB];  /* EUTRA cells list */
773 }F1ProtectEUTRARsrc;
774
775 typedef struct f1UacOperatorDefined
776 {
777    uint8_t   accessCategory;
778    uint8_t   accessId; 
779 }F1UacOperatorDefined;
780
781 typedef struct f1UacCategoryType
782 {
783    union
784    {
785       F1UacStandardAction    action;      /* UAC standardized action */
786       F1UacOperatorDefined   operatorDef; /* UAC operator defined */
787    }type;
788 }F1UacCategoryType;
789
790 typedef struct f1UacType
791 {
792    uint8_t                  uacReducInd;     /* Value 0 means no access rate reduction. Value 100 means full access rate reduction */
793    F1UacCategoryType   uacCategoryType; /* UAC Category type */
794 }F1UacType;
795
796 /* Unified Access Class Assistance Information */
797 typedef struct f1UacAssistInfo
798 {
799    Plmn      plmn[MAXNUMOFUACPLMN];        /* UAC PLMN list */
800    F1UacType   uacType[MAXNUMOFUACPERPLMN];  /* UAC Type list */
801 }F1UacAssistInfo;
802
803 /* F1 setup related structures */
804
805 typedef struct f1SetupReq
806 {
807    uint32_t                transId;                       /* Uniquely identify transaction */
808    uint32_t                duId;                          /* DU ID */ 
809    char               duName[CU_DU_NAME_LEN_MAX];    /* DU name */
810    F1DuSrvdCellInfo   srvdCellLst[MAX_NUM_CELL];   /* Serving cell list */
811    F1RrcVersion       rrcVersion;                    /* RRC version */
812 }F1SetupReq;
813
814 typedef struct f1setupRsp
815 {
816    uint32_t               transId;                      /* Uniquely identify transaction */
817    char              cuName[CU_DU_NAME_LEN_MAX];   /* CU Name */
818    F1CuActCellInfo   actCellInfo;                  /* cells to be activated */
819    F1RrcVersion      rrcVersion;                   /* RRC version */
820 }F1SetupRsp;
821
822 typedef struct f1SetupFail
823 {
824    F1FailureIE   setupFail;
825 }F1SetupFail;
826
827 /* At reception of the RESET message the gNB-DU shall release all allocated resources on F1 
828  * and radio resources related to the UE association(s) indicated explicitly or implicitly in 
829  * the RESET message and remove the indicated UE contexts including F1AP ID. */
830 typedef struct f1Reset
831 {
832    uint32_t              transId;   /* Uniquely identify transaction */
833    F1FailCause      cause;     /* Failure cause */
834    F1ResetType      resetType; /* type of reset */
835 }F1Reset;
836
837 /* After the gNB-CU has released all assigned F1 resources and the UE F1AP IDs for all indicated
838  * UE associations which can be used for new UE-associated logical F1-connections over the F1 interface,
839  *  the gNB-CU shall respond with the RESET ACKNOWLEDGE message. */
840 typedef struct f1ResetAck
841 {
842    uint32_t                    transId;         /* Uniquely identify transaction */
843    F1LogicalConnUeAssoc   ueAssocLogicalConn[MAX_F1_CONNECTIONS]; /* UE associated logical F1-connection list */
844    F1CritDiagnostic       critDiagnostic;  /* Critical diagnostics */
845 }F1ResetAck;
846
847 typedef struct f1ErrorInd
848 {
849    uint32_t                transId;         /* Uniquely identify transaction */
850    F1Entity           errorOrigin;     /* Specifies if error is originated at DU or CU */
851    F1FailCause        cause;           /* Failure cause */
852    /* If failure is due to Ue related message. */
853    uint32_t                gnbCuUeF1apId;   /* gNB-CU UE F1AP Id */
854    uint32_t                gnbDuUeF1apId;   /* gNB-DU UE F1AP Id */
855    F1CritDiagnostic   critDiagnostic;  /* Critical diagnostics */
856 }F1ErrorInd;
857
858 typedef struct f1GnbDuCfgUpd
859 {
860    uint32_t                 transId;                             /* Uniquely identify transaction */
861    F1DuSrvdCellInfo    srvdCellLstAdd[MAX_NUM_CELL];      /* Served cell list to be added */
862    F1DuSrvdCellToDel   srvdCellLstMod[MAX_NUM_CELL];      /* Served cell list to be modified */
863    NrEcgi              srvdCellLstDel[MAX_NUM_CELL];      /* Served cell list to be deleted */
864    F1CellStatus        cellStatus[MAX_NUM_CELL];          /* Cell status */
865    F1DedSIDelUE        ueLst[MAX_NUM_UE];                     /* Ue list that requires dedicated SI delivery */
866    uint32_t            gnbDuId;
867    F1TnlAssocToRmv     gnbDuTnlAssocRmv[MAX_TNL_ASSOC];  /* TNL Assoc list to remove */ 
868 }F1GnbDuCfgUpd;
869
870 typedef struct f1GnbDuCfgUpdAck
871 {
872    uint32_t           transId;                      /* Uniquely identify transaction */
873    F1CuActCellInfo    cellLstAct[MAX_NUM_CELL];    /* List of cells to be activated */   
874    F1CritDiagnostic   critDiagnostic;               /* Critical diagnostics */
875    NrEcgi             cellLstDeact[MAX_NUM_CELL]; /* List of cells to be deactivated */
876 }F1GnbDuCfgUpdAck;
877
878 typedef struct f1GnbDuCfgUpdFail
879 {
880    F1FailureIE   gnbDuCfgUpdFail;
881 }F1GnbDuCfgUpdFail;
882
883 /* Sent by the gNB-CU to transfer updated information associated to an F1-C interface instance */
884 typedef struct f1GnbCuCfgUpd
885 {
886    uint32_t            transId;                            /* Uniquely identifies transaction */
887    F1CuActCellInfo     cellLstAct[MAX_NUM_CELL];         /* List of cells to be activated */
888    NrEcgi              cellLstDeact[MAX_NUM_CELL];       /* List of cells to be deactivated */
889    F1TnlAssoc          assocLstAdd[MAX_TNL_ASSOC];     /* List of TNL assocs to be added */
890    F1TnlAssoc          assocLstUpd[MAX_TNL_ASSOC];     /* List of TNL assocs to be updated */
891    F1TnlAssocToRmv     assocLstRmv[MAX_TNL_ASSOC];     /* List of TNL assocs to be removed */
892    F1CellBarred        cellToBarList[MAX_NUM_CELL];      /* List of Cells to be barred */
893    F1ProtectEUTRARsrc  protectEutraRsrcList[MAXCELLINENB]; /* List of Protected EUTRA resources */
894 }F1GnbCuCfgUpd;
895
896 /* Sent by a gNB-DU to a gNB-CU to acknowledge update of information
897  * associated to an F1-C interface instance */
898 typedef struct f1GnbCuCfgUpdAck
899 {
900    uint32_t              transId;                              /* Uniquely identify transaction */
901    F1ActCellFail         actCellFailList[MAX_NUM_CELL];      /* Cells failed to be activated list */
902    F1CritDiagnostic      critDiagnostic;                       /* Critical diagnostics */
903    F1TnlAssocAddr        assocSetupList[MAX_TNL_ASSOC];     /* TNL Assoc Setup list */
904    F1TnlAssocSetupFail   assocSetupFailList[MAX_TNL_ASSOC]; /* TNL Assoc Setup fail list */
905    F1DedSIDelUE          dedSiDelUelist[MAX_NUM_OF_UE_ID];          /* Dedicated SI delivery needed UE list */
906 }F1GnbCuCfgUpdAck;
907
908 typedef struct f1GnbCuCfgUpdFail
909 {
910    F1FailureIE   gnbCuCfgUpdFail;
911 }F1GnbCuCfgUpdFail;
912
913 /* This procedure enables coordination of radio resource allocation between a gNB-CU and
914  * a gNB-DU. Coordination request is sent by CU to DU */
915 typedef struct f1GnbDuRsrcCoordReq
916 {
917    uint32_t      transId;              /* Uniquely identifies transaction */
918    F1ReqType     reqType;              /* Request type */
919    uint8_t       cellResCoordReqCont;  /* Container for X2AP E-UTRA - NR cell resource coordination request */
920    F1IgnoreReq   ignoreReq;            /* Ignore coordination request */
921 }F1GnbDuRsrcCoordReq;
922
923 /* This message is sent by a gNB-DU to a gNB-CU, to express the desired resource allocation
924  * for data traffic, as a response to the GNB-DU RESOURCE COORDINATION REQUEST. */
925 typedef struct f1GnbDuRsrcCoordRsp
926 {
927    uint32_t   transId;               /* Uniquely identifies transaction */
928    uint8_t    cellResCoordRspCont;   /* Container for X2AP E-UTRA - NR cell resource coordination response */
929 }F1GnbDuRsrcCoordRsp;
930
931 /* This message is sent by the gNB-DU to indicate to the gNB-CU its status of overload */
932 typedef struct f1GnbDuStatusInd
933 {
934    uint32_t                  transId;      /* Uniquely identifies transaction */
935    F1GnbDuOvrloadInfo   ovrloadInfo;  /* gNB-DU overloaded information */
936 }F1GnbDuStatusInd;
937
938 /* This message is sent by either the gNB-DU or the gNB-CU to intiate the removal
939  * of the interface instance and the related resources */
940 typedef struct f1RmvReq
941 {
942    uint32_t   transId; /* Uniquely identifies transaction */
943 }F1RmvReq;
944
945 /* This message acknowledges the initiation of removal of the interface
946  * instance and the related resources */
947 typedef struct f1RmvRsp
948 {
949    uint32_t           transId;     /* Uniquely identifies transaction */
950    F1CritDiagnostic   critDiagnos; /* Crititcality diagnostics */
951 }F1RmvRsp;
952
953 /* This message indicates that removing the interface instance and the related
954  * resources cannot be accepted */
955 typedef struct f1RmvFail
956 {
957    uint32_t           transId;         /* Uniquely identifies transaction */
958    F1FailCause        cause;          /* Failure cause */
959    F1CritDiagnostic   critDiagnostic; /* Criticality diagnostics */
960 }F1RmvFail;
961
962 /* This message is sent by the gNB-CU to indicate to the gNB-DU a need to reduce
963  * the rate at which UEs access the network. */
964 typedef struct f1NwkAccessRateRed
965 {
966    uint32_t          transId;        /* Uniquely identifies transaction */
967    F1UacAssistInfo   uacAssistInfo;  /* UAC Assistance Information */
968 }F1NwkAccessRateRed;
969
970 typedef struct f1Ipaddr
971 {
972    bool ipV4Pres;
973    uint32_t  ipV4Addr; 
974 }F1IpAddr;
975
976 typedef struct sctpParams
977 {
978    F1IpAddr  duIpAddr;
979    U16       duPort[MAX_DU_PORT];
980    F1IpAddr  cuIpAddr;
981    U16       cuPort;
982    F1IpAddr  ricIpAddr;
983    U16       ricPort;
984 }SctpParams;
985
986 typedef struct f1EgtpParams
987 {
988    F1IpAddr  localIp;      /* Ip address of local node */
989    uint16_t  localPort;    /* Sender Port at local node */
990    F1IpAddr  destIp;       /* Ip address of peer node */
991    uint16_t  destPort;     /* Sender port at peer node */
992    uint32_t  minTunnelId;
993    uint32_t  maxTunnelId;
994 }F1EgtpParams;
995
996 typedef struct schedulerCfg
997 {
998    uint8_t   numTxAntPorts;    /*!< Number of Tx antenna ports */
999    uint8_t   ulSchdType;     /*!< Indicates which UL scheduler to use, range
1000                               * is 0..(number of schedulers - 1) */
1001    uint8_t   dlSchdType;     /*!< Indicates which DL scheduler to use, range
1002                               * is 0..(number of schedulers - 1) */
1003    uint8_t   numCells;       /*!< Max number of cells */
1004    uint8_t   maxUlUePerTti;  /*!< Max number of UE in UL per TTI */
1005    uint8_t   maxDlUePerTti;  /*!< Max number of UE in DL per TTI */
1006 }SchedulerCfg;
1007
1008 typedef struct mibParams
1009 {
1010    uint8_t sysFrmNum;
1011    long    subCarrierSpacingCommon;
1012    long    ssb_SubcarrierOffset;
1013    long    dmrs_TypeA_Position;
1014    long    controlResourceSetZero;
1015    long    searchSpaceZero;
1016    long    cellBarred;
1017    long    intraFreqReselection;
1018 }MibParams;
1019
1020 typedef struct siSchedInfo
1021 {
1022    long     winLen;         /* SI Window Length */
1023    long     broadcastSta;   /* Broadcast status */
1024    long     preiodicity;    /* si periodicity */
1025    long     sibType;
1026    long     sibValTag;      /* Value tag */
1027 }SiSchedInfo;
1028
1029 typedef struct pdcchCfgCommon
1030 {
1031    uint8_t  present;
1032    long     ctrlRsrcSetZero;  /* Control resource set zero */
1033    long     searchSpcZero;    /* Search space zero */
1034    long     searchSpcId;      /* Search space id */
1035    long     ctrlRsrcSetId;    /* Control resource set id */
1036    uint8_t  monitorSlotPrdAndOffPresent;
1037    long     monitorSlotPrdAndOff;     /* Monitoring slot periodicity and offset */
1038    uint8_t  monitorSymbolsInSlot[2];  /* Monitoring symbols within slot */
1039    long     numCandAggLvl1;        /* Number of candiates at aggregation level 1 */
1040    long     numCandAggLvl2;        /* Number of candiates at aggregation level 2 */
1041    long     numCandAggLvl4;        /* Number of candiates at aggregation level 4 */
1042    long     numCandAggLvl8;        /* Number of candiates at aggregation level 8 */
1043    long     numCandAggLvl16;       /* Number of candiates at aggregation level 16 */
1044    uint8_t  searchSpcType;        /*  Search Space type */
1045    uint8_t  commSrchSpcDciFrmt;    /* DCI format of common search space type */
1046    long     searchSpcSib1;         /* Id of serch space for SIB1 */
1047    long     pagingSearchSpc;       /* Id of search space for paging */
1048    long     raSearchSpc;           /* Id of search space for Random Access proc */
1049 }PdcchCfgCommon;
1050
1051 typedef struct pdschCfgCommon
1052 {
1053    uint8_t  present;
1054    long     k0;
1055    long     mapType;              /* Mapping Type */
1056    uint16_t sliv;  
1057 }PdschCfgCommon;
1058
1059 typedef struct bcchCfg
1060 {
1061    long   modPrdCoeff;          /* Modification period co-efficient */
1062 }BcchCfg;
1063
1064 typedef struct pcchCfg
1065 {
1066    long   dfltPagingCycle;      /* Default paging cycle */
1067    long   nAndPagingFrmOffPresent;
1068    long   nAndPagingFrmOff;     /* n and Paging Frame offset */
1069    long   numPagingOcc;         /* Number of paging occassions in paging frame */
1070 }PcchCfg;
1071
1072 typedef struct scsSpecCarrier
1073 {
1074    long   scsOffset;    /* Offset to point A considering subcarrier spacing */
1075    long   scs;          /* subcarrier spacing */
1076    long   scsBw;        /* Carrier bandwidth considering subcarrier spacing */
1077
1078 }ScsSpecCarrier;
1079
1080 typedef struct dlCfgCommon
1081 {
1082    long   freqBandInd;  /* Downlink frequency band indicator */
1083    long   offsetToPointA;       /* DL ofset to point A */
1084    long   locAndBw;     /* Frequency location and bandwidth */
1085    ScsSpecCarrier   dlScsCarrier;  /* SCS Specific carrier */
1086    PdcchCfgCommon   pdcchCfg;
1087    PdschCfgCommon   pdschCfg;
1088    BcchCfg          bcchCfg;
1089    PcchCfg          pcchCfg;
1090 }DlCfgCommon;
1091
1092 typedef struct rachCfgCommon
1093 {
1094    uint8_t present;
1095    long   prachCfgIdx;       /* PRACH configuration index */
1096    long   msg1Fdm;           /* Num of PRACH transmission occassions */
1097    long   msg1FreqStart;     /* Offset of lowest msg1 transmission occassion */
1098    long   zeroCorrZoneCfg;   /* Zero correlation zone configuration */
1099    long   preambleRcvdTgtPwr; /* Traget power level */
1100    long   preambleTransMax;  /* Max num of preamble transmission */
1101    long   pwrRampingStep;    /* Power ramping steps for PRACH */
1102    long   raRspWindow;       /* RA response window */
1103    long   numRaPreamble;     /* Total num of preamble used in random access */
1104    uint8_t ssbPerRachOccPresent;
1105    long   numSsbPerRachOcc;  /* Numer of SSBs per RACH Occassion */
1106    long   contResTimer;      /* Contention resolution timer */
1107    long   rsrpThreshSsb;
1108    uint8_t rootSeqIdxPresent;
1109    long   rootSeqIdx;        /* PRACH root sequence index */
1110    long   msg1Scs;           /* Msg1 subcarrier spacing */
1111    long   restrictedSetCfg;  /* Restricted set configuration */
1112 }RachCfgCommon;
1113
1114 typedef struct  puschCfgCommon
1115 {
1116    uint8_t  present;
1117    long     k2;
1118    long     mapType;
1119    uint16_t sliv;
1120    long     msg3DeltaPreamble;
1121    long     p0NominalWithGrant;
1122 }PuschCfgCommon;
1123
1124 typedef struct pucchCfgCommon
1125 {
1126    uint8_t present;
1127    long   rsrcComm;
1128    long   grpHop;
1129    long   p0Nominal;
1130 }PucchCfgCommon;
1131
1132 typedef struct ulCfgCommon
1133 {
1134    long   pMax;         /* Max UL transmission power that UE applies */
1135    long   locAndBw;     /* Frequency location and bandwidth */
1136    ScsSpecCarrier   ulScsCarrier; /* SCS Specific carrier */
1137    RachCfgCommon    rachCfg;
1138    PuschCfgCommon   puschCfg;
1139    PucchCfgCommon   pucchCfg;
1140    long   timeAlignTimerComm;
1141 }UlCfgCommon;
1142
1143 typedef struct tddUlDlCfgCommon
1144 {
1145    long   refScs;     /* Reference subcarrier spacing */
1146    long   txPrd;      /* Transmission periodicity */
1147    long   numDlSlots;
1148    long   numDlSymbols;
1149    long   numUlSlots;
1150    long   numUlSymbols;
1151 }TddUlDlCfgCommon;
1152
1153 typedef struct srvCellCfgCommSib
1154
1155    DlCfgCommon        dlCfg;
1156    UlCfgCommon        ulCfg;
1157    uint8_t            ssbPosInBurst;
1158    long               ssbPrdServingCell;
1159    TddUlDlCfgCommon   tddCfg;
1160    long               ssPbchBlockPwr;
1161 }SrvCellCfgCommSib;
1162
1163 typedef struct sib1Params
1164 {
1165    Plmn    plmn;
1166    uint8_t   tac;
1167    long      ranac;
1168    uint8_t   cellIdentity;
1169    long      cellResvdForOpUse;
1170    long      connEstFailCnt;
1171    long      connEstFailOffValidity;
1172    SiSchedInfo           siSchedInfo;
1173    SrvCellCfgCommSib     srvCellCfgCommSib;
1174 }Sib1Params;
1175
1176 typedef struct duCfgParams
1177 {
1178    SctpParams         sctpParams;                  /* SCTP Params */
1179    F1EgtpParams       egtpParams;                  /* EGTP Params */
1180    uint32_t           maxUe;
1181    uint32_t           duId;
1182    uint8_t            duName[CU_DU_NAME_LEN_MAX];
1183    SchedulerCfg       schedCfg;
1184    F1DuSrvdCellInfo   srvdCellLst[MAX_NUM_CELL];  /* Serving cell list *///TODO: this must be removed eventually
1185    F1RrcVersion       rrcVersion;                 /* RRC version */
1186    MacCellCfg          macCellCfg;                    /* MAC cell configuration */
1187    MibParams          mibParams;                  /* MIB Params */
1188    Sib1Params         sib1Params;                 /* SIB1 Params */
1189 }DuCfgParams;
1190
1191 /*function declarations */
1192 void FillSlotConfig();
1193 S16 readClCfg();
1194 S16 readCfg();
1195 S16 duReadCfg(); 
1196 uint16_t calcSliv(uint8_t startSymbol, uint8_t lengthSymbol);
1197
1198 #endif /* __DU_CONFIG_H__ */
1199
1200 /**********************************************************************
1201   End of file
1202  **********************************************************************/